Сегодня 24 апреля 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Новости Hardware

TSMC внедрит транзисторы с круговым затвором при переходе на 2-нм техпроцесс в 2025 году

Компания TSMC подтвердила планы перехода на новые техпроцессы, транзисторы и технологии. В распространённом компанией документе чипы на 2-нм техпроцессе она начнёт выпускать в 2025 году и одновременно откажется от вертикально расположенных транзисторных каналов FinFET в пользу горизонтально расположенных каналов в виде наностраниц. О законе Мура на время придётся забыть, но энергоэффективность чипов существенно вырастет.

 Эволюция транзисторов. Источник изображения: Samsung

Эволюция транзисторов. Источник изображения: Samsung

Тайваньская TSMC отстаёт от компании Samsung по темпам перехода на новые (наностраничные) транзисторы GAAFET (Gate All Around) с круговым затвором. Само по себе это рискованное мероприятие — переход на новую структуру транзистора. Компания Samsung планирует начать производство чипов с наностраничными транзисторными каналами в конце текущего года в рамках 3-нм техпроцесса. Интересно отметить, что с новым руководством Intel в лице Патрика Гелсингера микропроцессорный гигант также пообещал форсировать переход на новые транзисторы GAAFET (в компании эта технология называется RibbonFET) и надеется сделать это примерно в 2024 году или на год раньше TSMC.

Транзисторные каналы в виде наностраниц (nanosheet) это почти такие же «рёбра» FinFET, только расположенные параллельно подложке кристалла, тогда как FinFET создаются перпендикулярно подложке. Из расположения наностраниц также следует, что затворы, материал которых страницы пронизывают насквозь от истока к стоку транзистора, окружают каналы-наностраницы со всех четырёх сторон. Большая площадь соприкосновения и большее число каналов-наностраниц позволяет увеличить токи через канал транзистора GAAFET и улучшить его управляемость.

 Источник изображения: TSMC

Источник изображения: TSMC

Также важным свойством наностраниц является более тонкая настройка транзисторов под задачи чипа, отсюда следует резко растущая энергоэффективность решений. Можно менять число страниц (каналов) и их ширину: для производительных чипов делать транзисторы с более широкими наностраницами, а для энергоэффективных — поуже.

Интересно отметить, что TSMC также определилась, хотя это не окончательный выбор, со следующей конструкцией транзистора после 2-нм транзисторов с наностраницами. После наностраниц компания рассчитывает наладить производство чипов с транзисторами CFET (см. рисунок ниже). В своё время мы тоже рассказывали о таких. Одним из разработчиков транзисторов CFET или комплементарных FET является бельгийский центр Imec. Транзисторы CFET состоят из пары комплементарных полевых транзисторов n- и p-типа, но расположены они друг над другом, что позволяет, грубо говоря, в два раза увеличить плотность размещения транзисторов на кристалле.

 Источник изображения: Imec

Источник изображения: Imec

«Это [CFET] всё еще находится на стадии исследования, — сказал глава TSMC в интервью EE Times. — Это всего лишь один из вариантов транзистора. Я не думаю, что могу назвать сроки, когда эта транзисторная технология пойдет в производство».

Возвращаясь к 2-нм техпроцессу, отметим, что до его внедрения TSMC рассчитывает на долгую жизнь 3-нм техпроцесса с привычными транзисторами FinFET. Между этими техпроцессами будет не меньше трёх лет, но даже после начала производства 2-нм чипов компания рассчитывает долго продолжать выпуск 3-нм решений — они будут надёжными и проверенными временем.

Источник:

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.
Вечерний 3DNews
Каждый будний вечер мы рассылаем сводку новостей без белиберды и рекламы. Две минуты на чтение — и вы в курсе главных событий.
Материалы по теме

window-new
Soft
Hard
Тренды 🔥
Минюст США порекомендовал посадить основателя Binance Чанпэна Чжао в тюрьму на три года 3 мин.
Художественная нейросеть YandexART с латентной диффузией обновилась до версии 1.3 14 мин.
Senua's Saga: Hellblade II получит поддержку русского и ещё 26 языков — игру переведут даже на исландский 35 мин.
Создатели Gloomhaven анонсировали Ironmarked — пошаговую кооперативную RPG, которая может никогда не выйти 2 ч.
Apple выпустит «Калькулятор» для iPad — пользователи планшета 14 лет считали в столбик 2 ч.
SSE4.2-инструкции стали обязательны для Windows 11 24H2 — в LGA775- и AM2/AM3-системах ОС не загружается 2 ч.
В 2023 году ГК «Солар» росла вдвое быстрее рынка 3 ч.
В Японии назревает противостояние двух приложений в духе Pokemon Go, но со столбами электропередач вместо покемонов 5 ч.
Релиз СУБД Platform V Pangolin 6.1: упрощённая миграция с зарубежных решений и повышенная производительность 5 ч.
Появились первые данные об успехах сериала Fallout — миллионы просмотров за рубежом и популярность в России 6 ч.