Сегодня 18 апреля 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Новости Hardware

TSMC внедрит транзисторы с круговым затвором при переходе на 2-нм техпроцесс в 2025 году

Компания TSMC подтвердила планы перехода на новые техпроцессы, транзисторы и технологии. В распространённом компанией документе чипы на 2-нм техпроцессе она начнёт выпускать в 2025 году и одновременно откажется от вертикально расположенных транзисторных каналов FinFET в пользу горизонтально расположенных каналов в виде наностраниц. О законе Мура на время придётся забыть, но энергоэффективность чипов существенно вырастет.

 Эволюция транзисторов. Источник изображения: Samsung

Эволюция транзисторов. Источник изображения: Samsung

Тайваньская TSMC отстаёт от компании Samsung по темпам перехода на новые (наностраничные) транзисторы GAAFET (Gate All Around) с круговым затвором. Само по себе это рискованное мероприятие — переход на новую структуру транзистора. Компания Samsung планирует начать производство чипов с наностраничными транзисторными каналами в конце текущего года в рамках 3-нм техпроцесса. Интересно отметить, что с новым руководством Intel в лице Патрика Гелсингера микропроцессорный гигант также пообещал форсировать переход на новые транзисторы GAAFET (в компании эта технология называется RibbonFET) и надеется сделать это примерно в 2024 году или на год раньше TSMC.

Транзисторные каналы в виде наностраниц (nanosheet) это почти такие же «рёбра» FinFET, только расположенные параллельно подложке кристалла, тогда как FinFET создаются перпендикулярно подложке. Из расположения наностраниц также следует, что затворы, материал которых страницы пронизывают насквозь от истока к стоку транзистора, окружают каналы-наностраницы со всех четырёх сторон. Большая площадь соприкосновения и большее число каналов-наностраниц позволяет увеличить токи через канал транзистора GAAFET и улучшить его управляемость.

 Источник изображения: TSMC

Источник изображения: TSMC

Также важным свойством наностраниц является более тонкая настройка транзисторов под задачи чипа, отсюда следует резко растущая энергоэффективность решений. Можно менять число страниц (каналов) и их ширину: для производительных чипов делать транзисторы с более широкими наностраницами, а для энергоэффективных — поуже.

Интересно отметить, что TSMC также определилась, хотя это не окончательный выбор, со следующей конструкцией транзистора после 2-нм транзисторов с наностраницами. После наностраниц компания рассчитывает наладить производство чипов с транзисторами CFET (см. рисунок ниже). В своё время мы тоже рассказывали о таких. Одним из разработчиков транзисторов CFET или комплементарных FET является бельгийский центр Imec. Транзисторы CFET состоят из пары комплементарных полевых транзисторов n- и p-типа, но расположены они друг над другом, что позволяет, грубо говоря, в два раза увеличить плотность размещения транзисторов на кристалле.

 Источник изображения: Imec

Источник изображения: Imec

«Это [CFET] всё еще находится на стадии исследования, — сказал глава TSMC в интервью EE Times. — Это всего лишь один из вариантов транзистора. Я не думаю, что могу назвать сроки, когда эта транзисторная технология пойдет в производство».

Возвращаясь к 2-нм техпроцессу, отметим, что до его внедрения TSMC рассчитывает на долгую жизнь 3-нм техпроцесса с привычными транзисторами FinFET. Между этими техпроцессами будет не меньше трёх лет, но даже после начала производства 2-нм чипов компания рассчитывает долго продолжать выпуск 3-нм решений — они будут надёжными и проверенными временем.

Источник:

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.
Вечерний 3DNews
Каждый будний вечер мы рассылаем сводку новостей без белиберды и рекламы. Две минуты на чтение — и вы в курсе главных событий.
Материалы по теме

window-new
Soft
Hard
Тренды 🔥
Состоялся релиз новой версии операционной системы Kaspersky Thin Client для тонких клиентов 2 ч.
Первый сторонний магазин iOS-приложений стал доступен в Евросоюзе 3 ч.
В России открыли Ассоциацию развития киберспортивной инфраструктуры — владельцы компьютерных клубов хотят добиться налоговых льгот 3 ч.
OpenAI GPT-4 достигла уровня врачей-офтальмологов в диагностике глазных заболеваний 3 ч.
Российский суд оштрафовал Twitch и Pinterest — каждого на 1 млн рублей 3 ч.
Игровой движок Unigine вернулся в реестр российского ПО благодаря усилиям разработчиков 4 ч.
Bethesda удалила Denuvo из Ghostwire: Tokyo, но умолчала об этом 5 ч.
Т1 и МФТИ предложат российскому бизнесу решения на базе квантовых алгоритмов 5 ч.
TikTok начал тестировать Notes — конкурента Instagram 5 ч.
Необычный платформер-головоломка Schim о потерявшем своего человека духе выйдет из тени в июле — дата релиза и новый трейлер 6 ч.
Nothing наделит все свои наушники поддержкой ИИ-бота ChatGPT 9 мин.
Сбой Microsoft Azure в Южной Африке был вызван массовым повреждением подводных кабелей сразу на двух побережьях континента 11 мин.
Huawei выпустила мобильный процессор Kirin 9010 — он оказался быстрее Qualcomm Snapdragon 8 Gen 1 17 мин.
Nothing представила беспроводные наушники Ear и Ear (a) с автономностью более 40 часов, шумоподавлением и ChatGPT 59 мин.
ИИ научился точно определять источник рака по клеткам метастаза — это увеличит выживаемость пациентов 2 ч.
Китайские Dongfeng и Chery задумались о локализации выпуска электромобилей в Европе 3 ч.
«Ангару» снова запустят с Восточного только в 2027 году, зато сразу с кораблём «Орёл» 3 ч.
Компания Gagar>n представила OCP-серверы «Оракул Gen 3» и «Простор Gen 1» на базе Intel Xeon 3 ч.
Toyota готовит электрический кроссовер Highlander с тремя рядами сидений 4 ч.
Более 1000 км без подзарядки: электромобиль Nio ET7 с полутвердотельной батареей испытали в реальных условиях 4 ч.