Сегодня 02 мая 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → asml
Быстрый переход

С завтрашнего дня руководить ASML будет новый гендир — ему предстоит разрулить ворох проблем

Формально нидерландская компания ASML отчиталась об итогах первого квартала ещё при старом генеральном директоре Петере Веннинке (Peter Wennink), но его преемник Кристоф Фуке (Christophe Fouquet) вступит в должность уже завтра. Ему предстоит руководить самой дорогой компанией Европы в очень непростых геополитических условиях.

 Источник изображения: ASML

Источник изображения: ASML

ASML является крупнейшим в мире поставщиком литографических сканеров, без которых в наши дни не обходится выпуск полупроводниковых компонентов. Из примерно 40 000 сотрудников ASML примерно 40 % являются иностранцами, и это уже само по себе представляет определённую проблему для компании, которая настроена существенно увеличить объёмы выпуска продукции в условиях, когда власти Нидерландов пытаются ужесточить иммиграционное законодательство. Компания располагает примерно 5000 поставщиками специализированных компонентов, и с ними новому руководству ASML тоже предстоит поддерживать доброжелательные и конструктивные отношения.

Опыт работы в отрасли на протяжении 16 лет, как считает издание Wired, поможет Кристофу Фуке сохранить лидерство ASML в сегменте литографических сканеров, но политика властей США и Нидерландов, которые запрещают компании экспортировать в Китай самые передовые литографические системы, невольно подталкивает китайскую сторону к импортозамещению. Не желая оставаться за бортом технического прогресса, как того хотели бы США и их союзники, Китай будет вынужден разработать собственное литографическое оборудование. При этом в прошлом квартале эта страна определяла 49 % выручки ASML, поэтому дальнейшие экспортные ограничения на этом направлении будут негативно сказываться на финансовых результатах деятельности компании.

Летом прошлого года Кристоф Фуке высказывался в пользу сохранения международного сотрудничества, утверждая, что достижение технологического суверенитета отдельными странами будет весьма сложным и дорогим мероприятием. Нидерландские эксперты также считают, что бизнес ASML уже давно вырос далеко за пределы национальных интересов, и решать вопросы типа предоставления экспортных лицензий на поставку оборудования в Китай должны власти Евросоюза. Санкции против Китая обостряют вопрос конкуренции с местными производителями оборудования, и у ASML не так много рычагов воздействия на ситуацию. Привлекая больше внимания к проблемам компании на уровне Евросоюза, новый глава ASML мог бы создать более благоприятные условия для её работы.

ASML в обмен на субсидии властей решилась на расширение в Нидерландах

С начала этого года обсуждалась ситуация с иммиграционным законодательством в Нидерландах, которая препятствует гармоничному развитию бизнеса ASML. Слухи приписывали компании желание начать расширение за пределами родной страны, а власти пытались её переубедить. Теперь стало ясно, что это будет сделано за счёт субсидий на сумму 2,5 млрд евро.

 Источник изображения: ASML

Источник изображения: ASML

Компания, как сообщает Bloomberg, подписала с властями Нидерландов соглашение о намерениях, которое подразумевает последующее развитие бизнеса в окрестностях нынешней штаб-квартиры в Эйндховене на юге страны. К 2030 году ASML намеревается удвоить свои производственные мощности, поскольку рассчитывает на рост спроса на выпускаемое литографическое оборудование в связи с наблюдаемым бумом в сфере систем искусственного интеллекта.

ASML предпочитает сохранять свои ключевые виды активности как можно ближе к уже существующим предприятиям в Велдховене к юго-западу от штаб-квартиры. В свою очередь, власти страны должны будут, по её мнению, обеспечить доступ к адекватным энергетическим ресурсам, дорожной сети и жилью для сотрудников, а также позаботиться об их образовании. Именно на эти цели и будут направлены те 2,5 млрд евро, которые чиновники решились выделить для удержания ASML на исторической родине. Инициатива по «приземлению» ASML была предпринята властями с учётом неприятного опыта переноса штаб-квартир корпораций Unilever и Shell из Нидерландов в Великобританию.

Intel завершила монтаж первого литографического сканера High-NA, который позволит выпускать чипы по технологии Intel 14A

Нидерландская компания ASML недавно уже осуществила пробную печать на кремниевой пластине с использованием созданного ею литографического сканера с высоким значением числовой апертуры (High-NA), и скоро подобный эксперимент повторит компания Intel, которая завершила монтаж первой системы Twinscan EXE:5000 в своём исследовательском центре в штате Орегон.

 Источник изображения: Intel

Источник изображения: Intel

Напомним, этот передовой литографический сканер в демонтированном состоянии ASML начала переправлять в США ещё в декабре, и только сейчас Intel получила все необходимые его составные части и завершила монтаж оборудования. Ещё какое-то время уйдёт на настройку, после чего Intel тоже сможет осуществить пробную печать токопроводящих линий на поверхности кремниевой пластины. Предполагается, что новый класс оборудования позволит печатать в 1,7 раза более компактные элементы на кремниевой пластине, и достигаемая плотность печати за один проход вырастет в 2,9 раза по сравнению с обычными EUV-сканерами. Напомним, что ASML на своём экземпляре аналогичной системы смогла напечатать линии с плотностью размещения 10 нм. Сочетание источника света с длиной волны 13,5 нм и оптики с высокой числовой апертурой теоретически позволяет Intel создавать элементы размером не более 8 нм.

Новый сканер Twinscan EXE:5000 будет использоваться компанией Intel для экспериментов с использованием техпроцесса Intel 18A, но в серийном производстве начнёт применяться только после перехода на техпроцесс Intel 14A в 2026 году или позже, причём для обработки лишь нескольких слоёв чипов, поскольку это определяется экономической целесообразностью. Intel собирается применять оборудование ASML с высоким значением числовой апертуры при выпуске чипов как минимум по трём поколениям техпроцессов.

Один сканер Twinscan EXE:5000 способен обрабатывать по 185 кремниевых пластин в час, а в будущем Intel рассчитывает получить от ASML сканер Twinscan EXE:5200B, который позволяет обрабатывать более 200 кремниевых пластин в час. Производительность подобного оборудования на практике, как поясняет Tom’s Hardware, будет ограничиваться уменьшенной площадью проекции, которую обеспечивает сканер с высоким значением числовой апертуры. Это одновременно ограничивает и размеры кристалла чипа, который можно получить за один проход. Intel собирается компенсировать это программно за счёт возможности «склейки» проекции кристалла из двух частей, а ASML попутно увеличивает скорость перемещения платформ, на которых закреплены кремниевые пластины и проекционное оборудование соответственно.

В любом случае, при стоимости около $400 млн за штуку литографическое оборудование с высоким значением числовой апертуры не может быть массовым, хотя ASML и уже располагает заказами на 10 или 20 таких систем, и недавно начала отгружать одну из них некоему второму клиенту, которым может оказаться бельгийская Imec. Компания Intel считает, что внедрение так называемой High-NA EUV технологии оправдывает себя с учётом необходимости освоения более совершенных структур транзисторов. Среди них упоминаются чипы с подводом питания с оборотной стороны кремниевой пластины, вертикально компонуемые транзисторы CFET и «самособирающиеся» на молекулярном уровне структуры. Следующий год Intel собирается посвятить подготовке оборудования High-NA EUV к условиям массового производства чипов по технологии Intel 14A. В рамках технологии Intel 18A оно будет применяться только в лабораторных условиях, но не на основном конвейере.

ASML создала первый образец полупроводника с применением литографии High-NA EUV

Нидерландская компания ASML сообщила о создании первых образцов полупроводниковых изделий с помощью своего первого литографического сканера со сверхжёстким ультрафиолетовым излучением и проекционной оптикой с высокой числовой апертурой со значением 0,55 (High-NA EUV). Событие является важной вехой не только для ASML, но и для технологии High-NA EUV в целом.

 Источник изображения: ASML

Источник изображения: ASML

«Наша High-NA EUV-система в Вельдховене напечатала первые в мире линии плотностью 10 нанометров. Визуализация была сделана после того, как оптика, датчики и стадии прошли процесс грубой калибровки. Далее планируется доведение системы до полной производительности и получение тех же результатов в полевых условиях», — говорится в заявлении ASML.

В настоящее время ASML создала всего три литографические системы High-NA EUV. Одна собрана в штаб-квартире компании ASML в Вельдховене (Нидерланды), другую собирают на американском заводе Intel D1X недалеко от Хиллсборо, штат Орегон. Третья будет собрана в Imec, ведущем научно-исследовательском институте полупроводников в Бельгии.

Похоже, ASML стала первой компанией, объявившей об успешном создании образцов с использованием системы литографии High-NA EUV, что является важной вехой для всей полупроводниковой промышленности. ASML собирается использовать свой сканер Twinscan EXE:5000 только для исследования и совершенствования технологии.

В свою очередь, Intel планирует использовать Twinscan EXE:5000, чтобы научиться применять EUV-литографию с высокой числовой апертурой для массового производства чипов. Сканер будет применяться для R&D-проектов с использованием её фирменного техпроцесса Intel 18A (класс 1,8 нм). А вот сканер следующего поколения, Twinscan EXE:5200, планируется задействовать для производства чипов согласно техпроцессу 14A (класс 1,4 нм).

Сканер ASML Twinscan EXE:5200, оснащённый оптикой с числовой апертурой 0,55, предназначен для нанесения элементов чипов с разрешением 8 нм, что является значительным улучшением по сравнению с текущими EUV-системами, обеспечивающими разрешение 13 нм. Новая технология позволяет сократить размеры транзисторов в 1,7 раза и обеспечить увеличение их плотности в 2,9 раза за одну экспозицию по сравнению с инструментами с низкой числовой апертурой (Low-NA EUV).

Сканеры с низкой числовой апертурой тоже позволяют добиться такого уровня разрешения, однако в таком случае требуется использование более дорогостоящего метода двойного шаблона. Переход на системы с литографией High-NA EUV необходим для выпуска чипов согласно нормам ниже 3 нм, массовое производство которых планируется начать в 2025–2026 годах. Применение High-NA EUV-литографии позволяет исключить необходимость в использовании двух проходов с двумя шаблонами, тем самым оптимизировать производственные процессы, потенциально повысив производительность и сократив производственные расходы. С другой стороны, инструменты с высокой числовой апертурой стоят до 400 миллионов долларов каждый и имеют свои недостатки, которые усложняют переход к более совершенным технологическим процессам.

У ASML резко обвалились заказы на оборудование для выпуска чипов

В позапрошлом квартале нидерландской компании ASML, которая является крупнейшим в мире поставщиком литографических сканеров, необходимых для выпуска полупроводниковых компонентов, удалось получить заказов на рекордную сумму €9,19 млрд. В первом квартале этого года сумма заказов не превысила €3,6 млрд, что меньше прогнозируемого аналитиками значения более чем на €1 млрд.

 Источник изображения: ASML

Источник изображения: ASML

Назвав 2024 год переходным периодом для компании, генеральный директор ASML Петер Веннинк (Peter Wennink) подчеркнул, что прогноз на текущий год в целом остаётся без изменений, а второе полугодие с точки зрения заказов должно показать более сильные результаты, чем первое, поскольку отрасль в целом оправляется от затянувшегося спада. Тем не менее, выручка ASML в текущем году останется на уровне прежнего, как пояснили представители компании — в районе €27,6 млрд.

Общая выручка ASML по итогам первого квартала сократилась на 21,6 % до €5,29 млрд, хотя аналитики ожидали около €5,39 млрд. Чистая прибыль сократилась на 37,4 % до €1,22 млрд, но оказалась выше ожиданий рынка. Объём заказов на поставку оборудования в первом квартале в годовом сравнении сократился на 4 % до €3,6 млрд, но падение по сравнению с четвёртым кварталом прошлого года оказалось почти троекратным. По всей видимости, до вступления в силу новых экспортных ограничений в прошлом году китайские клиенты ASML торопились оформить заказы на поставку оборудования, а в первом квартале у них такой мотивации уже не было. В 2025 году ASML рассчитывает на очередной заметный рост объёмов заказов, поэтому и называет 2024 год переходным периодом.

Примечательно, что Китай и в прошлом квартале обеспечил компании ASML рекордные 49 % выручки или около €1,9 млрд. Зрелая литография, которая пока не охвачена санкциями США и Нидерландов, продолжает бурно развиваться в КНР. При этом спрос на передовое оборудование ASML, которое позволяет работать с EUV-литографией, в первом квартале обвалился кратно: с €5,6 млрд в четвёртом квартале до €656 млн в первом. Во втором квартале ASML ожидает снижения выручки, прежде чем она начнёт расти в середине года.

Власти США попытаются заставить нидерландскую ASML не обслуживать оборудование, установленное в Китае

Стремления и успехи Китая в развитии собственной полупроводниковой промышленности огорчают правительство США, и оно попытается надавить на Пекин через союзников — Вашингтон просит их ввести дополнительные ограничения на обслуживание соответствующего оборудования.

 Источник изображения: Applied Materials

Источник изображения: Applied Materials

«Мы обсуждаем с союзниками отказ от обслуживания ключевых компонентов», — заявил сегодня заместитель министра торговли по вопросам промышленности и безопасности Алан Эстевес (Alan Estevez). По его словам, США не планируют ограничивать поставки второстепенных компонентов, которые Китай может отремонтировать самостоятельно.

Американские чиновники были крайне удивлены, когда в 2023 году Huawei выпустила новый смартфон с 5G на базе 7-нм чипа китайского производства. Тем не менее, Huawei и её производственный партнёр Semiconductor Manufacturing International Corp. (SMIC) по-прежнему используют зарубежное оборудование от Applied Materials Inc. и ASML Holding NV. Официальный Вашингтон запретил Applied Materials и другим американским компаниям обслуживать оборудование на включённых в санкционные списки китайских предприятиях, однако Япония и Нидерланды не присоединились к этим ограничениям.

Новая статья: Будущее чипмейкерства: FEL, SSMB, наноимпринт — или всё-таки LPP EUV?

Данные берутся из публикации Будущее чипмейкерства: FEL, SSMB, наноимпринт — или всё-таки LPP EUV?

Установлен первый литографический сканер ASML для выпуска 2-нм чипов по технологии Low-NA EUV

В контексте недавних достижений во взаимодействия компаний Intel и ASML как-то был упущен из виду тот факт, что производители чипов надеются освоить выпуск 2-нм продукции без перехода на использование более дорогих EUV-сканеров с высоким значением числовой апертуры (High-NA). На этой неделе ASML сообщила, что первый сканер Twinscan NXE:3800E с низким значением числовой апертуры (Low-NA) был установлен одним из клиентов компании.

 Источник изображения: ASML

Источник изображения: ASML

Данное оборудование, по словам AnandTech, характеризуется низким значением числовой апертуры (Low-NA), которое соответствует 0,33, но по сравнению с предыдущим поколением литографических сканеров ASML оно обладает увеличенной производительностью и более разумной стоимостью по сравнению со сканерами, использующими высокое значение числовой апертуры.

В любом случае, стоимость одного литографического сканера серии Twinscan NXE:3800E может превысить $200 млн, хотя конкретная сумма не раскрывается. Предполагается, что этот сканер сможет обрабатывать около 220 кремниевых пластин в час против прежних 160 штук, оправдывая свою высокую цену адекватной производительностью, ведь оборудование для EUV-литографии предыдущего поколения, мягко говоря, не отличалось высоким быстродействием.

Такой сканер клиенты ASML смогут применять для выпуска чипов по 2-нм и 3-нм технологиям. Новая система относится к пятому поколению оборудования ASML для работы со сверхжёстким ультрафиолетовым излучением (EUV) в сочетании с низким значением числовой апертуры (Low-NA). В планах ASML значится выпуск ещё как минимум одного поколения такого оборудования, которое получит обозначение Twinscan NXE:4600F и появится примерно в 2026 году.

Нидерланды призвали координировать антикитайские технологические санкции на уровне всего Евросоюза

Крупнейший поставщик литографического оборудования ASML базируется в Нидерландах, поэтому власти этой страны антикитайские санкции до сих пор координировали преимущественно с партнёрами в США и Японии. Тем не менее, власти Нидерландов настаивают на более тщательной координации санкций в технологической сфере на уровне всего Евросоюза.

 Источник изображения: ASML

Источник изображения: ASML

Об этом стало известно с подачи Bloomberg, агентство пояснило, что Евросоюз сейчас разрабатывает общий для стран-участниц блока план по защите экономических интересов. Власти Нидерландов предлагают создать единый для Евросоюза список продукции и технологий, поставки которых в недружественные страны будут запрещены. Странам блока также предлагается предоставить больше полномочий в сфере определения правил поставок на экспорт оборудования и техники двойного назначения.

Давление США на своих союзников в Европе усиливается с прошлого года, но Нидерланды или Германия не хотят оказаться в условиях, когда соседние страны блока будут обладать некими послаблениями по сравнению с ними. Между странами Евросоюза, по мнению нидерландских чиновников, должно вестись более активное взаимодействие по обмену информацией в сфере экспортного контроля. Все вводимые в регионе меры должны предварительно обсуждаться со странами блока, а ключевые стратегические изменения приниматься только после обсуждения на высшем уровне заинтересованных государств. Страны Евросоюза должны обмениваться имеющейся у них аналитической информацией по конкретным проблемам, прежде чем выносить на общее обсуждение новые меры по решению этих проблем в сфере экспортного контроля.

ASML удалось запустить первый литографический сканер, позволяющий выпускать чипы по технологии Intel 14A

Недавно Intel призналась, что техпроцесс 14A будет первой ступенью EUV-литографии с использованием оборудования с высокой числовой апертурой (High-NA), а компоненты первого образца такого оборудования компания начала получать ещё в прошлом году от ASML. Теперь стало известно, что специалистам ASML удалось запустить соответствующее оборудование в Нидерландах.

 Источник изображения: ASML

Источник изображения: ASML

Энн Келлехер (Ann Kelleher), которая в Intel отвечает за разработку технологий, во время конференции в Сан-Хосе на этой неделе подтвердила, что используемое для экспериментов оборудование с высоким значением числовой апертуры начало работу в лаборатории ASML, и тестовая кремниевая пластина уже была облучена с его помощью. Экземпляр литографического сканера ASML Twinscan EXE:5000 с аналогичными возможностями сейчас собирается в лаборатории Intel в Орегоне, но если судить по публикации Reuters, к полноценной работе он пока не готов.

Такое оборудование позволяет получить оптическое разрешение до 8 нм за одну экспозицию, что заметно лучше обычных EUV-сканеров, обеспечивающих разрешение 13,5 нм за одну экспозицию. Пока оборудование в Нидерландах проходит дальнейшую калибровку, и обрабатывать кремниевые пластины с целью получения полноценных тестовых чипов пока не готово. Предполагается, что после установки аналогичного сканера у себя в Орегоне Intel сможет начать подобные эксперименты, причём в рамках техпроцесса Intel 18A, хотя в серийном производстве соответствующее оборудование начнёт использовать не ранее 2026 года уже в рамках технологии Intel 14A. К концу 2027 года компания рассчитывает перейти на техпроцесс Intel 10A, который также будет использовать оборудование класса High-NA EUV.

ASML считает, что литографические сканеры нового поколения начнут использоваться в массовом производстве чипов с 2026 года

Отгрузить Intel первый литографический сканер с высокой числовой апертурой ASML успела ещё в декабре прошлого года, но уже тогда было известно, что в рамках серийного производства чипов по технологии Intel 18A подобное оборудование использоваться не будет. Сама ASML утверждает, что в массовом производстве сканеры поколения High-NA начнут использоваться клиентами с 2026–2027 года.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что первый литографический сканер с высокой числовой апертурой Intel собирается использовать для экспериментов в своём исследовательском центре в Орегоне в сочетании с технологией Intel 18A, но в серийном варианте такое оборудование будет внедрено лишь на последующих ступенях литографии. На этой неделе, как сообщает Reuters, нидерландская компания ASML пригласила представителей прессы на своё предприятие, чтобы продемонстрировать образцы подобного оборудования и рассказать о перспективах их применения.

Интересно, что аналитики и представители ASML расходятся в своих представлениях о сроках разумного внедрения оборудования класса High-NA в условиях массового производства чипов. Эксперты Semianalysis, например, предполагают, что экономически целесообразным использование таких литографических сканеров станет не ранее 2030 года. Руководство ASML отвергает такие доводы, настаивая, что переход на новое поколение сканеров обеспечит экономическую отдачу гораздо раньше, и он начнётся уже в 2026 или 2027 году.

Интерес к такому оборудованию ASML уже проявляют Samsung и TSMC, но руководство последней недавно дало понять, что экономическая целесообразность будет серьёзно влиять на сроки внедрения технологии High-NA на конвейере этого крупнейшего контрактного производителя чипов в мире. Во-вторых, TSMC не готова переводить техпроцессы клиентов на новое оборудование, если это не будет им удобно. Словом, складывается впечатление, что TSMC пока не готова спешить с использованием литографического оборудования с высокой числовой апертурой.

Представители ASML на этой неделе пояснили, что выпускаемые ею литографические системы поколения High-NA весят 150 тонн, и в разобранном состоянии занимают 250 контейнеров. Чтобы привести такой сканер в готовность к работе, требуется труд 250 инженеров на протяжении шести месяцев. Сейчас ASML располагает от 10 до 20 заказами на поставку таких сканеров от клиентов, причём производители памяти в лице Micron и SK hynix тоже проявляют к ним интерес. К 2028 году компания ASML собирается наладит выпуск до 20 таких систем на ежегодной основе. Производители чипов при помощи нового оборудования могут уменьшить геометрические размеры полупроводниковых элементов на 40 %, увеличивая плотность размещения транзисторов до трёх раз. Одна такая система стоит около $380 млн. В течение этого года клиентам будет отгружено несколько экземпляров такого оборудования.

ASML показала первый рекламный фильм, который никто не снимал — почти всё в нём сделал ИИ

Нидерландская компания ASML — безусловный лидер рынка литографических сканеров для производства полупроводников — представила «первый рекламный фильм», созданный искусственным интеллектом. Видео создано с использованием моделей Midjourney и алгоритмов RunwayAI с минимальным вмешательством людей в процесс монтажа и редактирования, и оно поражает воображение. Это будущее, которое наступило, и которое скоро многое изменит в жизни каждого человека.

 Кадр из созданного ИИ фильма ASML «Стоя на плечах гигантов». Источник изображения: ASML

Кадр из созданного ИИ фильма ASML «Стоя на плечах гигантов». Источник изображения: ASML

Ролик ASML под названием «Стоя на плечах гигантов» отсылает к известному высказыванию Исаака Ньютона: «Если я видел дальше других, то потому, что стоял на плечах гигантов». В представлении ASML, сотрудники которой составляли текстовые подсказки, микропроцессорная индустрия и все современные достижения в области вычислений также стоят на плечах гигантов, включая самого сэра Ньютона.

Кстати, с Ньютоном и яблоком в его руке пришлось особенно помучиться, признаются в ASML. Это оказалась самая сложная сцена. Команде операторов потребовалось более 20 попыток, чтобы правильно её воспроизвести. Для этого было сгенерировано более 9800 кадров, после чего можно было удовлетвориться результатом.

В целом фильм был создан с использованием 1963 подсказок, которые дали 7852 изображения. Цифровые картинки были отредактированы, а затем отрисованы на более чем 900 компьютерах. Наконец, полученные рендеры были обработаны алгоритмами RunwayAI, и общий объём кадров составил 25 957 штук по 1000 Мбайт на каждый из них.

В прошлом квартале Китай определял по 40 % выручки компаний ASML и Lam Research

Заявленная на недавней квартальной отчётной конференции ASML доля выручки на китайском рынке в 39 % от совокупной не является уникальным для этого поставщика литографических сканеров феноменом. Статистика американской Lam Research гласит, что в прошлом квартале китайские клиенты формировали 40 % её выручки.

 Источник изображения: ASML

Источник изображения: ASML

Ресурс South China Morning Post отследил динамику выручки американского поставщика оборудования для травления кремниевых пластин Lam Research в Китай, и обнаружил, что во втором квартале прошлого года данное направление формировало 26 % всей выручки компании, в третьем квартале доля Китая выросла до 48 %, а в четвёртом ограничилась 40 %. Примечательно, что год назад Китай и Южная Корея сохраняли паритет с точки зрения важности для Lam Research, занимая по 22 % выручки компании. В дальнейшем влияние Китая только увеличивалось, поскольку в четвёртом квартале Южная Корея формировала лишь 19 % выручки компании, а Япония занимала третье место с 14 %.

ASML, как уже сообщалось, в прошлом квартале 39 % своей выручки получила в Китае, тем самым обеспечив превосходство Поднебесной над Тайванем, Японией и Южной Кореей. В третьем квартале доля КНР в структуре выручки этого нидерландского производителя литографического оборудования тоже была выше, чем в четвёртом, и достигала 46 %. Можно утверждать, что усугубление санкций США сказывается на динамике поставок литографического оборудования в Китай, а ведь оно произошло как раз осенью прошлого года. По итогам всего 2023 года доля выручки ASML, полученной в Китае, выросла с 14 до 29 % по сравнению с 2022 годом. В прошлом году, как уточняет руководство AMSL, компании пришлось удовлетворять заказы, размещённые до конца 2022 года.

Статистика китайских таможенных органов отдельно показала, что с сентября по декабрь Китай получил на 47 % меньше литографических систем из Нидерландов, а в стоимостном выражении импорт сократился на 72 % до $58,8 млрд. В то же время поставки оборудования для очистки кремниевых пластин в КНР выросли на 667 % до $3,85 млрд, поэтому говорить о наличии однонаправленных тенденций нельзя.

Аналитики отмечают, что рост выручки ASML в целом по итогам прошлого квартала в большей мере отображает ситуацию на рынке памяти типа HBM, поскольку её производители на фоне бума систем искусственного интеллекта бросились активно наращивать объёмы выпуска и закупать оборудование. В данном случае для выпуска HBM требуются EUV-сканеры, которые приносят ASML немало выручки в силу своей дороговизны.

Объём заказов ASML располнел в 3,5 раза за квартал, а Китай стал крупнейшим покупателем её оборудования для выпуска чипов

Нидерландская компания ASML остаётся крупнейшим производителем литографических сканеров — оборудования, необходимого для производства полупроводниковых компонентов. В прошлом квартале ей удалось увеличить объём заказов в денежном выражении в 3,5 раза по сравнению с предыдущими тремя месяцами года, до рекордных €9,2 млрд.

 Источник изображения: ASML

Источник изображения: ASML

Как поясняет Bloomberg, в числовом выражении сумма заказов выросла по итогам минувшего квартала с 2,6 до 9,19 млрд евро. Спрос толкали вверх преимущественно самые современные литографические сканеры. В прошлом году выручка ASML выросла на 30 % до 27,6 млрд евро, и генеральный директор Петер Веннинк (Peter Wennink) заявил, что это было пиковым значением прироста, поскольку повторить его в текущем году уже не получится.

Примечательно, что отраслевые аналитики оценивали портфель заказов ASML в четвёртом квартале примерно в 3,6 млрд евро, а по факту он оказался почти в три раза больше. Из общей суммы 9,19 млрд евро на долю самых современных систем для работы с EUV-литографией пришлось 5,6 млрд евро. Финансовый директор компании Роджер Дассен (Roger Dassen) пояснил, что товарные запасы на стороне клиентов приближаются к норме и определённо находятся на лучших уровнях, чем пару кварталов назад.

Высокий спрос на литографическое оборудование ASML со стороны китайских производителей чипов на протяжении 2023 года позволил компании компенсировать негативное влияние кризисных явлений в мировой экономике на собственную выручку. Если в первом квартале прошлого года китайские клиенты формировали только 8 % выручки ASML, то в четвёртом квартале их доля выросла до 39 %, превратив КНР в крупнейший рынок сбыта продукции компании. Кстати, в третьем квартале доля Китая достигала 46 % выручки ASML, поэтому в четвёртом квартале наблюдался некоторый спад, вызванный вступлением в силу новых санкций. Южная Корея остаётся вторым по величине рынком сбыта для ASML со своими 25 % общей выручки, на Тайвань приходятся 13 %, США довольствуются 11 %, а страны Европы, Африки и Ближнего Востока (EMEA) сообща формируют 8 % выручки компании, тогда как в первом квартале их доля не превышала 1 %. Переток заказов из Тайваня и США наблюдался не только в Китай, но и в регион EMEA.

Аналитики Citigroup ожидали роста портфеля заказов ASML в первой половине текущего года, но он произошёл несколько раньше, ещё в прошлом квартале. В следующем году бизнес ASML тоже будет расти. В прошлом квартале выручка компании последовательно увеличилась с 6,67 до 7,24 млрд евро. В текущем году, по оценкам руководства ASML, до 15 % китайской выручки компании пострадает от введённых ранее экспортных ограничений США. На фоне оптимистичной отчётности акции ASML выросли в Амстердаме в цене на 7,5 %, что является максимальным приростом с ноября 2022 года.

США вынудили ASML разрывать контракты на поставку литографических систем в Китай

Как сообщает информагентство Bloomberg, по прямому указанию из Белого дома компания ASML раньше срока разорвала ряд контрактов с китайскими компаниями на поставку оборудования для производства чипов. Очередной пакет санкций против Китая начинает действовать в январе 2024 года. Оборудование надеялись отгрузить до установленной даты, но этому помешал звонок из администрации Байдена.

 Источник изображения: ASML

Источник изображения: ASML

Если верить сообщению из анонимного источника, слова которого приводит информагентство, советник по национальной безопасности США Джейк Салливан (Jake Sullivan) позвонил правительству Нидерландов по этому вопросу в конце прошлого года. Однако голландские официальные лица попросили США обсудить поставки в Китай систем для иммерсионной глубокой ультрафиолетовой литографии (DUV, длина волны 193 нм) напрямую с ASML.

Литографические сканеры для производства полупроводников диапазона EUV с длиной волны 13,5 нм были запрещены к поставкам в Китай около двух лет назад. Они могут помочь с выпуском чипов с разрешением до 3 нм и менее. Сканеры DUV тоже могут приблизиться к таким нормам, но только за счёт множественного применения масок для каждого критически важного слоя, что удорожает производство. Впрочем, это не помешало китайским производителям наладить выпуск 7-нм чипов и продолжить совершенствовать техпроцессы.

Поэтому в США расценивают поставки сканеров DUV в Китай как значительную угрозу. Нюанс в том, что это бьёт по производителю оборудования — нидерландской компании ASML. Руководство компании пытается уверить инвесторов, что всё не так страшно, и доля китайских клиентов едва превышает 15 %. Однако статистика говорит, что осенью 2023 года от продаж в Китай ASML получала почти половину выручки.

 Источник изображения: www.bloomberg.com

Источник изображения: www.bloomberg.com

Ожидая очередного запрета с нового 2024 года, Китай сильно нарастил закупки оборудования, и доля продаж продукции ASML в Китай поднялась последовательно с 8 % в первом квартале до 24 % во втором и до 46 % в третьем. Можно только представлять, что покажет четвёртый квартал, когда в захлопывающуюся дверь пытались протолкнуть как можно больше литографических систем и смежной техники.

Власти Нидерландов якобы попытались уйти от ответственности, перебросив решение неприятного вопроса непосредственно руководству ASML. В то же время представитель ASML прокомментировал происшествие изданию Bloomberg. Компания утверждает, что в последний момент экспортная лицензия была отменена властями страны.

 Источник изображения:

Данные китайской таможни по темпам ввоза оборудования ASML в Китай в 2023 году в сравнении с 2022 годом. Источник изображения: www.bloomberg.com

Подробности происшедшего не сообщаются. По словам ASML, отмена поставок в последний момент не сильно повлияет на доходы компании, поскольку она затронула небольшое количество оборудования считаным покупателям. Механизм разрыва сделки и ответственности сторон тоже не раскрыты. Но ясно одно, США крайне обеспокоены способностью Китая выпускать даже не самые передовые чипы, и любой новый станок в Поднебесную рассматривается как угроза.


window-new
Soft
Hard
Тренды 🔥
Недавнее обновление Windows сломало VPN — решения проблемы у Microsoft нет 4 ч.
Anthropic выпустила приложение с ИИ-чат-ботом Claude для iPhone 4 ч.
Starfield получила бета-версию крупнейшего патча — карты городов, интерьер кораблей, 60 кадров/с на Xbox Series X, а на подходе наземный транспорт 4 ч.
В соцсети LinkedIn появились игры, но сыграть можно раз в день 7 ч.
В «Google Фото» появится опция улучшения видео одним касанием 7 ч.
Более 30 сотрудников TikTok задержали и допросили на границе США 7 ч.
Первая за годы новая Batman: Arkham оказалась VR-эксклюзивом — анонсирована Batman: Arkham Shadow 8 ч.
Состояние души, а не игра: критики вынесли вердикт приключению Indika про одержимую монахиню в альтернативной России XIX века 9 ч.
Nvidia добавила в ChatRTX голосовой ввод, поддержку нейросети Google Gemma и поиск фотографии на ПК с помощью OpenAI CLIP 9 ч.
Биткоин за сутки подешевел на 10 % и потянул за собой другие криптовалюты 10 ч.