Сегодня 01 июня 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → производство
Быстрый переход

Sony заявила о снижении капитальных затрат на 30 % в полупроводниковом бизнесе

Многолетняя трансформация бизнеса Sony привела к тому, что собственной в сфере электроники одним из наиболее важных для неё источников выручки стал выпуск датчиков изображений для смартфонов и цифровых камер. Как позволили выяснить недавние заявления представителей Sony, снижение прибыльности этой деятельности вынуждает компанию замедлить расширение производственных мощностей.

 Источник изображения: Sony

Источник изображения: Sony

С финансовой точки зрения, как поясняет Nikkei Asian Review, это выразится в снижении капитальных затрат Sony на развитие производства полупроводниковых компонентов на 30 % в период до марта 2027 года по сравнению с предыдущим трёхлетним периодом, до $4,14 млрд. Генеральный директор Sony Semiconductor Solutions Тэруси Симидзу (Terushi Shimizu) во время своего выступления в эту пятницу пояснил, что эффективность инвестиций в полупроводниковой сфере снизилась, у компании возникли проблемы с повышением прибыльности бизнеса. В ближайшие три года Sony, по его словам, намеревается сосредоточиться на более эффективном использовании имеющихся производственных мощностей, а инвестиционные возможности будут оцениваться более тщательно.

В прошлом фискальном году, который завершился в марте текущего, операционная прибыль Sony сократилась на 9 % до $1,23 млрд. Норма операционной прибыли снизилась сразу с 15 до 12 %. Вчера Sony сообщила, что приступила к строительству нового предприятия в префектуре Кумамото площадью 370 000 квадратных метров, но будет ориентироваться на текущую ситуацию, выбирая время для начала его оснащения оборудованием более осмотрительно. В этом районе у Sony уже есть подобное предприятие, а ещё по соседству строит свои цеха совместное предприятие с TSMC и Denso. Все эти площадки способны снабжать Sony чипами для датчиков изображений цифровых камер, поэтому объёмы выпуска в условиях ограниченного спроса компании придётся регулировать с осторожностью.

В апреле запасы южнокорейских чипов сокращались рекордными за десять лет темпами — снова виноват ИИ-бум

Экспорт полупроводниковой продукции является важнейшей статьёй доходов экономики Южной Кореи, поэтому кризис перепроизводства на рынке памяти, вызванный пандемией, больно ударил по этой азиатской стране. Признаком улучшении ситуации можно считать ускорившееся в апреле сокращение запасов готовой продукции, поскольку темпы изменения запасов достигли максимального с 2014 года значения.

 Источник изображения: Nvidia

Источник изображения: Nvidia

Конкретно складские запасы сократились на 33,7 %, как поясняет Bloomberg со ссылкой на данные официальных органов статистики Южной Кореи. Эти запасы сокращаются уже четвёртый месяц подряд, при этом наблюдается рост экспортной выручки, что весьма закономерно — в апреле он достиг 13,8 %. При этом объёмы промышленного производства в апреле выросли только на 22,3 % против 30,2 % в марте. Объёмы отгрузки продукции предприятиями увеличились на 13,8 % по итогам апреля против 16,4 % роста в марте.

Можно предположить, что оживлению полупроводникового сектора Южной Кореи мог способствовать спрос на микросхемы памяти типа HBM, поставляемые местными компаниями для нужд Nvidia и AMD, которые используют их для оснащения своих ускорителей вычислений, лежащих в аппаратной основе бурно развивающихся систем искусственного интеллекта.

По прогнозам Центробанка Южной Кореи, нынешняя ситуация на рынке памяти напоминает период 2016 года, когда возрождению спроса способствовал спрос на микросхемы со стороны облачных провайдеров. Таким образом, спрос на востребованные типы памяти останется высоким как минимум до середины следующего года, по мнению южнокорейских регуляторов. Двузначный рост экспорта полупроводников в денежном выражении по итогам первого квартала способствовал росту ВВП Южной Кореи на 1,3 % в последовательном сравнении. Центробанк страны улучшил прогноз по ключевым финансовым индикаторам на текущий год, хотя для борьбы с инфляционным давлением продолжает сохранять повышенную ставку рефинансирования. Прогноз по инфляции на текущий год при этом не был пересмотрен южнокорейскими регуляторами. Ожидается, что по итогам мая объёмы поставок продукции корейскими предприятиями выросли на 15,4 %.

Япония ужесточит контроль за экспортом важных для национальной экономики технологий

Заинтересованность властей отдельных стран в обеспечении эффективности предоставляемых субсидий легко понять, а потому инициатива японского правительства по дополнительному ограничению экспорта важных для национальной экономики технологий была в какой-то мере ожидаемой.

 Источник изображения: Tokyo Electron

Источник изображения: Tokyo Electron

Как поясняет Nikkei Asian Review, Министерство экономики, торговли и промышленности Японии собирается обновить правила, касающиеся контроля за экспортом технологий в случае предоставления их пользователям внутри страны субсидий на развитие бизнеса. Получатели государственных субсидий будут должны обеспечить повышенную сохранность критически важных технологий от утечки в другие страны. Обновлённые правила экспортного контроля коснутся пяти областей: производства полупроводниковой продукции, продвинутых электронных компонентов, батарей, деталей самолётов, станков и промышленных роботов.

Получатели государственных субсидий в Японии должны будут ограничить доступ к важным технологическим секретам для своих сотрудников, а получившие такое доступ будут подписывать контракты, не позволяющие им безнаказанно передавать полученный опыт за пределы страны после увольнения. На уровне взаимодействия компаний будет введено обязательное требование подписания соглашения о конфиденциальности. Японские компании из «чувствительных» секторов экономики должны будут согласовывать с властями страны свои планы по расширению производства за её пределами.

В сфере производства полупроводниковых компонентов получатели субсидий будут ограничены в своих возможностях расширять производство за рубежом. В сегменте передовых литографических технологий предел будет установлен на уровне 5 % от существующего уровня, в сегменте зрелой литографии — на уровне 10 %. Нарушителям этих правил придётся возвращать полученные от правительства субсидии. Напомним, что американский «Закон о чипах» похожие ограничения вводит даже для зарубежных компаний, которые строят свои предприятия как в США, так и в недружественных по отношению к ним странах. Власти Японии также хотят ввести дополнительный контроль за экспортом важных для страны технологий даже в том случае, если они не обладают двойным назначением, позволяющим использовать их как в мирных, так и военных целях.

Происшествие с радиацией произошло на фабрике чипов Samsung — запущено расследование

Корейский регулятор в области ядерной безопасности инициировал проверку в отношении компании Samsung из-за того, что двое её занятых в полупроводниковом производстве сотрудников подверглись воздействию радиации.

 Источник изображения: Jonathan Kemper / unsplash.com

Источник изображения: Jonathan Kemper / unsplash.com

У пострадавших в инциденте сотрудников Samsung наблюдаются аномальные симптомы в области пальцев из-за локального воздействия излучения, хотя их анализы крови в норме, говорится в заявлении, опубликованном Комиссией по ядерной безопасности Кореи (NSSC). Они были госпитализированы в Корейский институт радиологии и медицины и сейчас находятся под наблюдением; им предстоят дальнейшие обследования.

Инцидент произошёл 27 мая на производственной линии завода Samsung в 40 км к югу от Сеула. Чтобы установить причину аварии, комиссия приостановила работу оборудования, из-за которого работники компании подверглись воздействию радиации. Samsung оказывает поддержку пострадавшим в результате инцидента сотрудникам, отметили в компании, и будет сделано всё возможное, чтобы предотвратить подобные аварии в будущем.

Экс-сотрудницу SK hynix обвинили в хищении секретной информации для Huawei

Поскольку китайская полупроводниковая промышленность в своём развитии на определённых этапах была вынуждена полагаться на зарубежные разработки и опыт иностранных сотрудников, скандалы с промышленным шпионажем то и дело всплывают в новостной сфере. Южнокорейский суд теперь обвиняет бывшую сотрудницу SK hynix в передаче коммерческих секретов представителям китайской Huawei.

 Источник изображения: SK hynix

Источник изображения: SK hynix

Как сообщает Business Korea, перед Окружным судом Сувона предстала уроженка КНР, которая с 2013 года работала в SK hynix с документацией, имеющей отношение к разработке полупроводниковых компонентов, и после перевода в китайское подразделение этой южнокорейской компании отвечала за консультирование корпоративных клиентов. Следствие установило, что перед своим увольнением в июне 2022 года обвиняемая распечатала более 3000 страниц документации SK hynix, имеющей отношение к разработке полупроводниковых компонентов, и передала их представителям Huawei.

Обвиняемую арестовали в Южной Корее в прошлом месяце, к настоящему времени ей уже предъявлены обвинения в части нарушения должностных обязанностей и раскрытия коммерческой тайны в промышленной сфере. Представители SK hynix пока только подтверждают, что утечка информации о методах производства микросхем памяти действительно имела место, но подробностями делиться не спешат, выражая готовность всячески содействовать следствию.

ИИ-бум разогрел спрос на японское оборудование для производства чипов — в апреле зафиксирован рекордный рост

Производственная активность в полупроводниковой промышленности Японии сосредоточилась на зрелых техпроцессах, но страна по-прежнему лидирует в поставках некоторых видов технологического оборудования, необходимого для выпуска чипов. По итогам апреля выручка от реализации такого оборудования японскими компаниями выросла на 15,7 % в годовом сравнении, показав максимальный рост за 17 месяцев.

 Источник изображения: Tokyo Electron

Источник изображения: Tokyo Electron

Об этом сообщает TrendForce со ссылкой на статистику Японской ассоциации производителей оборудования для полупроводниковой промышленности. В денежном выражении поставки такой продукции по итогам апреля выросли на 15,7 % в годовом сравнении до $2,48 млрд. В годовом сравнении положительная динамика сохраняется на протяжении четырёх месяцев, а всего с января по апрель поставщики также выручили $8,8 млрд, установив сезонный рекорд и увеличив поставки на 9,4 % в денежном выражении по сравнению с аналогичным периодом прошлого года.

Месячная выручка поставщиков оборудования для производства чипов уже шестой раз подряд превышает $1,9 млрд, а в целом итоги апреля являются историческим максимумом выручки для японских поставщиков оборудования. Последовательно выручка в апреле выросла на 6,4 %, и такая тенденция тоже сохраняется на протяжении шести месяцев. Япония занимает второе место в мире по объёмам поставок оборудования для производства чипов после США, контролируя около 30 % глобального рынка. Спрос на японское оборудование подогревается как бумом искусственного интеллекта, так и китайскими клиентами, которые пытаются обеспечить себя необходимым оборудованием в свете планомерно ужесточаемых санкций.

Если такие тенденции сохранятся, то по итогам всего текущего года выручка поставщиков оборудования для обработки кремниевых пластин вырастет на 5 % примерно до $100 млрд, повторив рекорд 2022 года. Если в 2025 году к буму ИИ добавится восстановление спроса на рынке ПК и смартфонов, то выручка поставщиков оборудования может вырасти на 10 %.

Micron запустит в Японии новую фабрику по выпуску памяти DRAM 1γ к концу 2027 года

Если активность TSMC на территории Японии можно объяснить заинтересованностью местных властей в возрождении национальной полупроводниковой промышленности, то Micron Technology предприятиями по выпуску оперативной памяти в Японии располагает с 2012 года, а потому строительство нового говорит о расширении производственной базы в этой стране.

 Источник изображения: Micron Technology

Источник изображения: Micron Technology

Около 12 лет назад Micron Technology поглотила основанную в 1999 году японскую компанию Elpida Memory, с тех пор первая выпускает часть продукции на территории Страны восходящего солнца. Существующие предприятия Micron расположены в Хиросиме, но к концу 2027 года здесь появится ещё одно, способное выпускать микросхемы DRAM по литографической технологии 10-нм класса типа «гамма» (1γ), на его строительство предполагается выделить около $5,1 млрд.

Об этом сообщает Nikkei со ссылкой на японские СМИ. Власти Японии готовы предоставить Micron около $1,3 млрд субсидий на строительство нового предприятия. Для работы данного завода потребуются литографические сканеры ASML класса EUV, которые позволяют изготавливать чипы по современным техпроцессам. Первоначально Micron хотела построить новое предприятие в 2024 году, но рыночные условия вынудили компанию перенести график строительства на более поздний срок. Теперь возведение завода начнётся в 2026 году, а к работе он приступит к концу 2027 года.

Пошлины США на китайские чипы и восстановление спроса на чипы вызвали резкий рост заказов у UMC

Тайваньская компания UMC хоть и уступила свои рыночные позиции растущей на внутренних китайских заказах компании SMIC с точки зрения выручки, остаётся опытным игроком рынка с достаточно широким ассортиментом услуг и обширной клиентской базой. Решение властей США поднять пошлины на импорт китайских чипов заставило некоторых клиентов присмотреться к услугам UMC.

 Источник изображения: UMC

Источник изображения: UMC

Об этом со ссылкой на Economic Daily News сообщает ресурс TrendForce. По данным источника, UMC сейчас активизировала переговоры с крупными западными клиентами, включая американскую Texas Instruments и немецкую Infineon. Поскольку со следующего года пошлины на ввоз в США полупроводниковых компонентов китайского производства вырастут в два раза до 50 %, поставщикам приходится искать новые площадки для выпуска чипов, предназначенных для американского рынка.

Уже во второй половине текущего года степень загрузки производственных линий UMC может вырасти до 70–75 %, хотя в последние месяцы компания страдала от нехватки заказов на фоне кризиса перепроизводства, вызванного пандемией. Прочие тайваньские контрактные производители тоже наблюдают подобную тенденцию. У Vanguard степень загрузки должна вырасти до уровня свыше 75 %, у PSMC достичь 85–90 %. Компания UMC надеется заключить с крупными зарубежными разработчиками чипов долгосрочные контракты.

Во-вторых, в сегменте компонентов для сетевого оборудования с прошлого месяца наблюдается рост спроса, поэтому UMC будет обеспечена дополнительными заказами MediaTek и Realtek уже в ближайшее время. По итогам апреля выручка UMC выросла на 8,67 % в последовательном сравнении до $614 млн, а в годовом сравнении она увеличилась на 6,93 %, достигнув 16-месячного максимума. Впрочем, на направлении автомобильной электроники и компонентов для сектора промышленной автоматизации складские запасы расходуются медленнее, чем ожидалось, и это соответствующим образом сдерживает рост спроса на услуги контрактных производителей.

Японская Rapidus будет не только выпускать 2-нм чипы, но и самостоятельно их упаковывать

К 2027 году японская компания Rapidus рассчитывает наладить на территории родной страны контрактный выпуск чипов по 2-нм технологии, но её планы по организации их упаковки до сих пор не особо предавались огласке. Тем не менее, Rapidus собирается организовать тестирование и упаковку чипов на своём первом предприятии, которое будет одновременно и обрабатывать кремниевые пластины по 2-нм технологии.

 Источник изображения: Rapidus

Источник изображения: Rapidus

Партнёрами Rapidus в освоении передовой литографии должны выступить американская IBM, бельгийская Imec и французский исследовательский институт Leti. Потратив около $32 млрд на строительство своего первого предприятия, Rapidus рассчитывает оказывать услуги и по упаковке чипов, которые сторонние клиенты будут заказывать в производство на нём же. Таким образом, готовый продукт можно будет получить в одном месте, а не дожидаться завершения всех этапов производственного цикла, которые могут проходить порою на разных континентах.

Глава американского представительства Rapidus Генри Ричард (Henri Richard), как отмечает AnandTech, назвал руководителя компании Ацуёси Коикэ (Atsuyoshi Koike) уникальным человеком, который сочетает японское внимание к мелочам и качеству с американской гибкостью мышления и скоростью внедрения решений в бизнесе. Как считает Ричард, ёмкость рынка услуг по выпуску чипов по 2-нм и более совершенным техпроцессам достигнет $150 млрд, и для небольшого независимого производителя, коим является Rapidus, место на нём найдётся даже в условиях доминирования TSMC, а также высокой активности Samsung и Intel.

Если Intel при освоении техпроцессов подобного диапазона делает ставку на использование оборудования с высоким значением числовой апертуры (High-NA EUV), то TSMC не торопится внедрять соответствующие литографические сканеры ASML, которые примерно в два раза дороже оборудования текущего поколения. По экономическим соображениям от использования High-NA EUV при выпуске 2-нм продукции собирается воздержаться и компания Rapidus. Однако, при освоении 1,4-нм техпроцесса позиция этого производителя может измениться, как заявил Ричард.

Он добавил, что возводя своё первое предприятие с чистого листа, Rapidus имеет возможность изначально предусмотреть на нём место для линий по тестированию и упаковке чипов. С точки зрения упрощения логистики это значительно ускоряет процесс выпуска чипов, как считают в Rapidus. Это может привлечь к услугам компании молодых небольших разработчиков, которым нужны передовые технологии производства, но при этом они не хотят ждать своей очереди на обслуживание у той же TSMC.

Предприятие TSMC в китайском Нанкине получило бессрочную лицензию США, позволяющее продолжить работу

Предприятиями по производству чипов с использованием технологий американского происхождения на территории Китая располагают не только южнокорейские компании Samsung Electronics и SK hynix, но и тайваньская TSMC. Последней только недавно удалось получить постоянную экспортную лицензию от властей США, позволяющую продолжать работу с умеренно продвинутыми техпроцессами.

 Источник изображения: TSMC

Источник изображения: TSMC

Как поясняет TrendForce, предприятие TSMC в Нанкине способно работать с 12-нм, 16-нм, 22-нм и 28-нм техпроцессами, а также использовать структуру транзисторов FinFET, а это уже те «пограничные» технологии в области литографии, доступ к которым на территории Китая американские власти хотели бы ограничить. Как сообщило на этой неделе издание Commercial Times, если в октябре 2022 года предприятие TSMC в Нанкине сохранило право продолжать работу с технологиями американского происхождения и получать новое оборудование по временному разрешению властей США, то в этом месяце оно обзавелось статусом «проверенного конечного пользователя». По сути, он позволяет TSMC на бессрочной основе выпускать чипы в Нанкине и модернизировать предприятие, расширяя в случае необходимости производственные мощности и обеспечивать его нужным технологическим оборудованием без отдельных согласований поставок с американскими чиновниками.

По 16-нм технологии предприятие TSMC в Нанкине собирается выпускать и магниторезистивную память типа MRAM, включая и адаптированный для нужд автомобильной промышленности вариант. Учитывая бурный рост китайского автопрома, наличие в стране предприятия ведущего мирового контрактного производителя чипов будет иметь важное значение для местных заказчиков. Второе предприятие TSMC в Шанхае специализируется на более зрелых техпроцессах, которые в поле зрения американских регуляторов пока не попадают.

SK hynix удалось свести брак при выпуске HBM3E до скромных 20 %

Производство микросхем HBM требует большого количества кремниевых пластин не только по причине большой общей площади кристаллов, но и из-за достаточно высокого уровня брака. Если исторически уровень выхода годных кристаллов при выпуске HBM не превышал 40–60 %, то SK hynix удалось поднять показатель до 80 %.

 Источник изображения: SK hynix

Источник изображения: SK hynix

Об этом в интервью Financial Times заявил директор по управлению качеством SK hynix Квон Чжэ Сун (Kwon Jae-soon). Одновременно компании удалось сократить длительность производственного цикла HBM3E на 50 %. До сих пор считалось, что уровень выхода годной продукции HBM3E при производстве SK hynix не превышал 60–70 %, но и это считалось весьма приличным показателем. Заявляемый же уровень в 80 % превосходит эти ожидания и демонстрирует успех SK hynix в оптимизации своих производственных процессов.

В 2025 году SK hynix рассчитывает освоить массовое производство памяти HBM4 в 12-ярусном исполнении, её партнёром в этой сфере выступает тайваньская TSMC. Конкурирующая Samsung рассчитывает освоить выпуск памяти этого поколения только в 2026 году. К тому времени SK hynix уже собирается наладить выпуск 16-ярусных стеков HBM4.

Упоминая о планах Samsung наладить поставки 12-ярусных стеков HBM3E в текущем полугодии, представитель SK hynix отметил, что клиентами компании сейчас наиболее востребованы именно 8-ярусные стеки HBM3E, поэтому на их производстве компания и сосредоточена в настоящее время. Сама SK hynix собирается начать поставки 12-ярусных стеков HBM3E в третьем квартале.

Вплоть до 1,6 нм: за два следующих года TSMC освоит четыре новых техпроцесса

На прошлой неделе представители TSMC заявили, что до конца текущего года компания начнёт серийное производство чипов по технологии N3P, и это подтолкнуло сотрудников ресурса AnandTech обобщить всю доступную о ближайших планах компании информацию в одной таблице. В 2025 и 2026 годах TSMC намерена внедрить четыре новых техпроцесса.

 Источник изображения: TSMC

Источник изображения: TSMC

Непосредственно на следующий год запланировано освоение техпроцессов N3X и N2, причём данные события будут сосредоточены во второй половине 2025 года, если всё пойдёт по графику. В какой-то мере техпроцессы N3X и N2 будут конкурировать друг с другом за предпочтения клиентов TSMC. Первый должен снизить уровень энергопотребления на 7 % относительно N3P, который будет освоен во второй половине текущего года. Скорость переключения транзисторов вырастет на 5 % при напряжении 1,2 В при прежней плотности размещения транзисторов, а последний показатель увеличится в 1,1 раза при неизменной тактовой частоте.

 Источник изображения: AnandTech

Источник изображения: AnandTech

Техпроцесс N2 обещает снизить энергопотребление на 25–30 % относительно N3E, который освоен с четвёртого квартала прошлого года. При этом скорость переключения транзисторов вырастет на 10–15 %, а плотность их размещения вырастет в 1,15 раза. Такой же прирост по плотности обеспечит относительно N3E техпроцесс N2P, который будет освоен во второй половине 2026 года, а вот выигрыш по энергопотреблению увеличится до 30–40 %, тогда как скорость переключения транзисторов вырастет на 15–20 %. Другими словами, прямое сравнение N2 и N2P обеспечит не такую уж заметную выгоду по энергопотреблению (5–10 %) и быстродействию (5–10 %), а плотность размещения транзисторов и вовсе останется неизменной.

В рамках техпроцесса N2 компания TSMC впервые внедрит структуру транзисторов с нанолистами и окружающим затвором (GAA). Это должно значительно улучшить производительность, снизить энергопотребление и увеличить плотность размещения транзисторов. Конкурирующий техпроцесс N3X может превзойти N2 по быстродействию, особенно на более высоких напряжениях. Кому из клиентов TSMC технология N3X может больше понравиться в виду отсутствия изменений в структуре транзисторов (FinFET), что должно благоприятно сказаться на уровне брака.

На 2026 год у TSMC запланировано освоение техпроцессов N2P и A16. Последний будет ориентированной на повышение быстродействия версией N2, а второй предложит приписываемые 1,6-нм технологиям характеристики в сочетании с подводом питания с оборотной стороны кремниевой пластины. N2P может предложить либо сниженное на 5–10 % энергопотребление при неизменном быстродействии, либо возросшую пропорционально производительность при неизменном энергопотреблении по сравнению с базовым N2.

Техпроцесс A16 готов предложить снижение энергопотребления на 20 % относительно N2P, либо возросшее на 10 % быстродействие при тех же уровнях энергопотребления. Плотность размещения транзисторов A16 позволит увеличить на 10 % относительно N2P. В чипах, ориентированных на высокую производительность, техпроцесс A16 раскроет себя с лучшей стороны, но подвод питания с оборотной стороны кремниевой пластины сделает его достаточно дорогим в производстве.

ASML в ближайшие годы сосредоточится на повышении производительности своего оборудования для выпуска чипов

Бывший технический директор ASML Мартин ван ден Бринк (Martin van den Brink) пояснил, что к следующему десятилетию компания не только собирается представить оборудование со сверхвысоким значением числовой апертуры 0,75, но и увеличить производительность литографических сканеров поколений EUV и DUV. За счёт этого себестоимость продукции удаётся удержать в разумных рамках.

 Источник изображения: ASML

Источник изображения: ASML

Соответствующие заявления, по данным ресурса Bits&Chips, представитель ASML сделал на проходившем в Антверпене форуме ITF World, организованном бельгийской исследовательской компанией Imec. Если нынешние сканеры для работы с DUV и EUV литографией способны обрабатывать по 200 или 300 кремниевых пластин, то в будущем этот показатель предстоит поднять до 400–500 кремниевых пластин в час. Ван ден Бринк эту меру назвал «главным оружием против роста затрат».

ASML также хотела бы создать унифицированную платформу для оборудования для работы со сверхжёстким ультрафиолетовым излучением (EUV), к которой относились бы и перспективные сканеры Hyper-NA со сверхвысоким значением числовой апертуры (0,75). Унифицированная платформа позволила бы переносить часть инноваций с оборудования класса Hyper-NA на более зрелое, улучшая его характеристики. Одновременно такая унификация способствовала бы более быстрой окупаемости инвестиций в создание оборудования класса Hyper-NA.

Лет через десять ASML хотела бы создать единую платформу для работы с низкой (0,33), высокой (0,55) и сверхвысокой (0,75) числовой апертурой. Впрочем, пока сроки начала работы над созданием оборудования Hyper-NA не определены, как отметили представители ASML. Внедрение оптики со сверхвысокой числовой апертурой сократит потребность в двойной экспозиции, не только ускоряя выпуск чипов, но и снижая энергозатраты. Кроме того, отказ от двойной экспозиции на определённых этапах техпроцесса должен способствовать снижению уровня брака при производстве чипов.

Напомним, что Intel собирается активно внедрять уже доступное оборудование с высоким значением числовой апертуры (High-NA) при серийном производстве чипов по технологии 14A, а вот крупнейший контрактный производитель TSMC в рамках будущего техпроцесса A16 от подобных мер собирается воздержаться. Технологически оборудование такого класса очень нравится руководству TSMC, а вот его стоимость пока отпугивает. Вероятно, подобные колебания будут до определённого момента происходить и при экспансии оборудования класса Hyper-NA, если оно появится.

SMIC удалось догнать UMC на рынке услуг по контрактному производству чипов и занять третье место

О том, что китайская SMIC делает определённые успехи в увеличении своей доли мирового рынка услуг по контрактному производству чипов, уже сообщают самые разные источники. Экспертам Counterpoint Research удалось установить, что на третье место с 6 % рынка SMIC вышла впервые только в прошлом квартале.

 Источник изображения: Nvidia

Источник изображения: Nvidia

По данным источника, концентрирующаяся на обслуживании китайских клиентов SMIC смогла добиться такого прогресса за счёт восстановления спроса на многие типы полупроводниковых компонентов на внутреннем рынке КНР. Выручка SMIC продолжит увеличиваться и во втором квартале, а по итогам всего года её прирост может достичь 14–16 % против изначально заложенных в прогноз 4–6 %. Тем самым SMIC может увеличить отрыв по доле рынка в показателях выручки от UMC, которую едва потеснила с третьего места.

Для рынка контрактных услуг в целом, как отмечается в отчёте Counterpoint Research, первый квартал этого года характеризовался снижением совокупной выручки участников на 5 % в последовательном сравнении. Спрос на конечных рынках восстанавливается медленнее, чем хотелось бы производителям чипов. Бум на рынке систем искусственного интеллекта приведёт к тому, что мощности по упаковке чипов с использованием технологии CoWoS к концу текущего года увеличатся более чем вдвое, но предложение в сегменте всё равно будет отставать от потребностей рынка. Обычные серверные системы, смартфоны, ПК, автомобили и сектор промышленной автоматизации — все эти направления будут восстанавливаться медленнее ожидаемого. Именно эта тенденция усугубила последовательное снижение выручки контрактных производителей в первом квартале, усилив сезонные явления.

 Источник изображения: Counterpoint Research

Источник изображения: Counterpoint Research

В годовом сравнении, тем не менее, выручка контрактных производителей чипов по итогам первого квартала выросла на 12 %. Слабость спроса за пределами сегмента ИИ вынудила руководство TSMC пересмотреть свой прогноз по росту выручки в сегменте логических компонентов по итогам всего 2024 года с «более чем 10 %» до просто 10 %. Зато в сегменте ИИ выручка компании увеличится более чем в два раза. До 2028 года такая выручка TSMC будет ежегодно расти в среднем на 50 %. Сейчас высокий уровень загрузки линий TSMC по выпуску 5-нм продукции поддерживается как раз за счёт высокого спроса на ускорители вычислений для систем ИИ. По итогам первого квартала компания заняла 62 % мирового рынка контрактных услуг по выпуску чипов в показателях выручки.

Samsung Electronics, которая выпускает чипы и для сторонних заказчиков, по итогам первого квартала смогла удержать долю в 13 % мирового рынка, но её выручка сократилась из-за сезонных тенденций на рынке смартфонов. Во втором квартале, как считают аналитики Counterpoint Research, выручка Samsung вырастет на двузначную величину в процентах. Корейский контрактный производитель занимает второе место после TSMC, но судить о его выручке в этой сфере можно только по косвенным данным.

UMC и GlobalFoundries, которые довольствуются 6 и 5 % рынка соответственно, рассчитывают на возвращение роста в сегменте смартфонов в ближайшие месяцы. В автомобильном сегменте выручка во втором квартале начнёт расти у GlobalFoundries, а вот UMC будет сложнее наблюдать аналогичный эффект. В большинстве сегментов рынка ситуация со складскими запасами приблизилась к нормальной, поэтому заказчики их начнут восполнять в текущем году, формируя более благоприятные условия для работы контрактных производителей чипов.

Южная Корея выделит внушительные $19 млрд на поддержку производства чипов

Если в начале этого месяца правительство Южной Кореи планировало выделить на субсидирование национальной полупроводниковой отрасли более $7,34 млрд, то окончательно принятый бюджет программы поднял эту планку до эквивалента $19 млрд. Непосредственно на поддержку производства чипов из этой суммы будет направлено около $12,5 млрд, остальное составят налоговые льготы.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Банк развития Кореи, контролируемый государством, будет распределять указанные средства между получателями субсидий, как поясняет Reuters. Около $734 млн из общей суммы будет направлено на поддержку производителей оборудования для производства чипов и компаний, которые занимаются разработками, но не владеют собственными производственными мощностями. Заметим, что крупнейшая южнокорейская компания в лице Samsung Electronics не только разрабатывает чипы и выпускает их своими силами, но и выступает в роли контрактного производителя для сторонних разработчиков, включая иностранные компании.

Власти Южной Кореи стремятся увеличить конкурентоспособность национальной полупроводниковой отрасли за пределами сегмента памяти, который позволил местным производителям выйти в мировые лидеры. Если Samsung Electronics является крупнейшим производителем всех видов памяти, то менее крупная SK hynix в последние годы выбилась вперёд по выпуску микросхем HBM, востребованных ускорителями вычислений для систем искусственного интеллекта. Экспорт полупроводниковой продукции обеспечивает 18 % всех экспортных доходов Южной Кореи, если опираться на данные за апрель этого года.

Бесфабричные разработчики из Южной Кореи, по словам местных властей, могут претендовать лишь на 1 % мирового рынка чипов. Корейские компании отстают в технологическом плане от ведущих зарубежных контрактных производителей чипов. Поддержка государства должна устранить этот отставание хотя бы частично.


window-new
Soft
Hard
Тренды 🔥
Владелец Ticketmaster подтвердил утечку данных 560 млн пользователей спустя 11 дней 19 мин.
В Telegram добавили сообщения с анимированными эффектами, глобальные хештеги и другие нововведения 60 мин.
Anthropic позволит создавать персональных помощников на базе ИИ-чат-бота Claude 3 ч.
ElevenLabs запустила ИИ-генератор звуковых эффектов по текстовому описанию 3 ч.
Новая статья: Songs of Conquest — песнь величия. Рецензия 15 ч.
В ранний доступ Steam ворвался олдскульный шутер Selaco на движке классических Doom — с перестрелками и умными врагами в духе F.E.A.R. 17 ч.
Warhorse официально подтвердила перевод на русский язык в Kingdom Come: Deliverance 2 18 ч.
Perplexity AI превратит поисковую выдачу в веб-страницу, которой удобно делиться с другими 18 ч.
Google добавила редактирование RCS-сообщений и другие полезные функции в Android 19 ч.
Эндгейм подкрался незаметно: авторы перспективного «дьяблоида» Wolcen: Lords of Mayhem решили забросить разработку всего через четыре года после релиза 19 ч.
Вулканическую юпитерианскую луну Ио впервые сняли с Земли в лучшем разрешении, чем с космических аппаратов 22 мин.
Новые спутники Starlink могут уничтожить радиоастрономию на Земле, предупреждают учёные 3 ч.
Корейский профсоюз Samsung объявил забастовку, но на производство и поставки памяти это не повлияет 3 ч.
Последний «дружественный» поставщик VSAT-оборудования Gilat Satellite Networks приостановил работу в РФ 3 ч.
Samsung проиграла Huawei и больше не первая по продажам складных смартфонов в мире 3 ч.
Минцифры предлагает выдавать льготные кредиты для строительства ЦОД 4 ч.
Госархив РФ построит в Калужской области дата-центр за 1 млрд руб., оснастив его российскими серверами и СХД Depo 4 ч.
В метеорите с Марса учёные разглядели образ древней Земли 4 ч.
Blackview выпустила защищённый смартфон Oscal Pilot 2 с двумя экранами и мини-ПК MP100 с мощной начинкой 4 ч.
Робот-пылесос Dreame D9 Max и вертикальный беспроводной пылесос R20 обеспечат качественную и быструю уборку 6 ч.