Сегодня 01 июня 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → литография
Быстрый переход

Intel показала распаковку литографической машины ASML Twinscan EXE:5000 за $380 млн — она нужна для техпроцессов Intel 18A и 14A

Компания Intel недавно дала понять, какие новшества планирует использовать после освоения выпуска чипов по техпроцессу Intel 18A в следующем полугодии. К 2026 году ей предстоит внедрить в массовом производстве оборудование с высоким значением числовой апертуры (High-NA EUV), и монтаж первого литографического сканера ASML такого класса уже начался на площадке в Орегоне.

 Источник изображения: Intel

Источник изображения: Intel

Напомним, что в качестве эксперимента Intel будет использовать сканер с поддержкой High-NA EUV ещё в рамках технологии Intel 18A, но исключительно в своём исследовательском центре в Орегоне, где он сейчас проходит процедуру монтажа и наладки после доставки из Нидерландов. В серийном варианте технологию начнут использовать только после освоения техпроцесса Intel 14A в 2026 году, она же поможет освоить техпроцесс Intel 10A в 2027 году.

Недавно Intel опубликовала короткий видеоролик с кадрами доставки первого литографического сканера ASML Twinscan EXE:5000, который обладает высоким значением числовой апертуры и позволит выпускать чипы по технологиям от Intel 14A и ниже, если брать исключительно серийную продукцию. Поставка компонентов этого сканера, который в разобранном состоянии занимает 250 контейнеров, началась ещё в прошлом году, но только сейчас Intel опубликовала видео с процессом разгрузки оборудования и его монтажа в своём исследовательском центре в Орегоне. Как правило, коллективу из 250 инженеров на монтаж и настройку одного сканера требуется до шести месяцев. Как можно судить по видео, из Нидерландов в США компоненты сканера доставляются по воздуху, что позволяет рассчитывать на сокращение сроков доставки по сравнению с морским путём.

Напомним, что недавно аналогичный сканер был в тестовом режиме запущен компанией ASML в Нидерландах, поэтому у специалистов компании, которые будут помогать Intel в движении к соответствующему рубежу, уже будет опыт в этой сфере. Оборудование нового поколения позволяет сократить размеры транзисторов в 1,7 раза и увеличить плотность их размещения в три раза по сравнению с существующими техпроцессами. Предполагается, что один литографический сканер нового поколения стоит около $380 млн, поэтому компании Intel придётся серьёзно вложиться в закупку профильного оборудования, прежде чем начать серийный выпуск продукции по технологии Intel 14A.

Глава Intel признался, что судьба компании поставлена на успех техпроцесса Intel 18A

В этом году руководство Intel уже поведало о своих планах по освоению техпроцессов Intel 14A и Intel 10A в 2026 и 2027 году соответственно, но изначальным рубежом в перспективных планах развития компании, который обозначил Патрик Гелсингер (Patrick Gelsinger) после вступления в должность генерального директора три года назад, являлся именно техпроцесс Intel 18A. Гелсингер утверждает, что на успех этого техпроцесса буквально поставлена судьба компании.

 Источник изображения: Intel

Источник изображения: Intel

Напомним, что в 2021 году перед Intel была поставлена задача освоить пять новых техпроцессов за четыре года и устранить технологическое отставание от конкурентов к 2025 году. К тому моменту компания как раз должна освоить массовый выпуск продукции по технологии Intel 18A, хотя первые экземпляры соответствующих чипов сойдут с её конвейера ещё во второй половине текущего года.

В перспективе выпуском продукции по технологии Intel 18A для собственных нужд компании и её клиентов должны будут заняться предприятия в Аризоне и Огайо, но последние ещё не построены, а потому в ближайшие месяцы Intel может полагаться в этом вопросе только на предприятие в Орегоне, которое соседствует с исследовательским центром, где и внедряется техпроцесс Intel 18A.

В интервью каналу TechTechPotato глава Intel Патрик Гелсингер признался: «Я поставил всю компанию на техпроцесс 18. Ещё в ноябре прошлого года, как отмечает ресурс PCGamer, Гелсингер не был столь категоричен в этом вопросе, и выражал сомнение, можно ли считать освоение техпроцесса Intel 18A событием, от которого зависит вся дальнейшая судьба компании. Очевидно, теперь у него появились причины полагать, что такая зависимость существует.

Напомним, что от техпроцесса Intel 18A сейчас зависит и успех развития контрактного бизнеса компании, поскольку он уже привлёк не менее четырёх крупных клиентов. Это производители продукции оборонного назначения Boeing и Northrop Grumman, шведская компания Ericsson и корпорация Microsoft. Кроме того, Intel оптимизирует средства разработки и сам техпроцесс Intel 18A под нужды клиентов Arm, поэтому количество заказчиков на этом направлении должно расти. Компания ставит перед собой цель к 2030 году стать вторым по величине контрактным производителем чипов в мире. Соответственно, от успеха в освоении техпроцесса Intel 18A действительно зависит многое.

Для Intel реализация подвода питания к микросхемам с оборотной стороны кристалла, которая предусмотрена в рамках техпроцесса Intel 18A, является важным технологическим изменением. Сейчас верхние слои микросхем связаны как раз с электропитанием, они выполняются из металла, но в них приходится делать многочисленные крохотные окна для передачи сигналов на нижние слои. С одной стороны, возникают помехи для сигнала, с другой — снижается КПД электрической части. Подвод питания к нижним слоям с оборотной стороны кристалла позволит решить эти проблемы.

ASML удалось запустить первый литографический сканер, позволяющий выпускать чипы по технологии Intel 14A

Недавно Intel призналась, что техпроцесс 14A будет первой ступенью EUV-литографии с использованием оборудования с высокой числовой апертурой (High-NA), а компоненты первого образца такого оборудования компания начала получать ещё в прошлом году от ASML. Теперь стало известно, что специалистам ASML удалось запустить соответствующее оборудование в Нидерландах.

 Источник изображения: ASML

Источник изображения: ASML

Энн Келлехер (Ann Kelleher), которая в Intel отвечает за разработку технологий, во время конференции в Сан-Хосе на этой неделе подтвердила, что используемое для экспериментов оборудование с высоким значением числовой апертуры начало работу в лаборатории ASML, и тестовая кремниевая пластина уже была облучена с его помощью. Экземпляр литографического сканера ASML Twinscan EXE:5000 с аналогичными возможностями сейчас собирается в лаборатории Intel в Орегоне, но если судить по публикации Reuters, к полноценной работе он пока не готов.

Такое оборудование позволяет получить оптическое разрешение до 8 нм за одну экспозицию, что заметно лучше обычных EUV-сканеров, обеспечивающих разрешение 13,5 нм за одну экспозицию. Пока оборудование в Нидерландах проходит дальнейшую калибровку, и обрабатывать кремниевые пластины с целью получения полноценных тестовых чипов пока не готово. Предполагается, что после установки аналогичного сканера у себя в Орегоне Intel сможет начать подобные эксперименты, причём в рамках техпроцесса Intel 18A, хотя в серийном производстве соответствующее оборудование начнёт использовать не ранее 2026 года уже в рамках технологии Intel 14A. К концу 2027 года компания рассчитывает перейти на техпроцесс Intel 10A, который также будет использовать оборудование класса High-NA EUV.

Техпроцесс Intel 10A будет освоен к концу 2027 года

Представители ресурса Tom’s Hardware присутствовали на мероприятии Intel Foundry Direct Connect, и уже после его завершения получили разрешение компании на публикацию части информации о будущих планах Intel, которая была раскрыта клиентам и партнёрам процессорного гиганта. Как выясняется, к концу 2027 года компания рассчитывает начать выпуск продукции по новейшему техпроцессу Intel 10A.

 Источник изображений: Tom's Hardware

Источник изображений: Tom's Hardware

Если учесть, что до этого обсуждалась лишь возможность выпуска продукции по технологии Intel 14A, то следующую ступень литографии (Intel 10A) можно условно сопоставить с 1-нм техпроцессом, хотя сама Intel подобных параллелей старательно избегает. По уточнённым данным, выпуск чипов по технологии Intel 14A компания планирует начать ещё в 2026 году, поэтому у неё будет примерно год на последующее освоение технологии Intel 10A. По всей видимости, последняя будет подразумевать не только использование EUV-литографии с высоким значением числовой апертуры (High-NA), но и структуры транзисторов с окружающим затвором (GAA) и технологии подвода питания с оборотной стороны печатной платы.

Какой прогресс с точки зрения плотности размещения транзисторов, скорости их переключения и снижения энергопотребления технология Intel 10A обеспечит в сравнении с предшественницей, сейчас не уточняется, но ранее глава компании Патрик Гелсингер (Patrick Gelsinger) отмечал, что на нынешнем этапе развития литографии разница между соседними ступенями техпроцессов измеряется 14–15 %, если говорить о соотношении производительности и энергопотребления. В любом случае, Intel рассчитывает на двузначный прирост в процентах, говоря о прогрессе техпроцесса 10A.

В ближайшие годы Intel будет заниматься активной экспансией производства чипов по технологиям, подразумевающим использование EUV-литографии, а доля техпроцессов с нормами 10 нм (Intel 7) и ниже будет неуклонно снижаться, хотя технически даже в 2030 году компания будет выпускать некоторое количество продукции по техпроцессам старше 14 нм.

Попутно будет развиваться бизнес по тестированию и упаковке чипов, услугами которого смогут пользоваться даже те компании, которые не заказывают у Intel непосредственно обработку кремниевых пластин с чипами. От классических технологий упаковки Intel откажется полностью, доверив подобную работу сторонним подрядчикам, а сама будет использовать имеющиеся мощности только для продвинутых методов упаковки чипов, поскольку это выгоднее экономически.

В сфере производства чипов выход Intel на контрактный рынок позволит компании увеличить жизненный цикл каждого техпроцесса и период окупаемости. Одновременно за счёт эффекта масштаба производства будет снижаться себестоимость продукции. В ближайшие пять лет Intel собирается потратить $100 млрд на расширение имеющихся производственных мощностей и строительство новых. Отмечается, что выпуск продукции по технологии Intel 18A (в том числе, для сторонних заказчиков) на предприятиях Fab 52 и Fab 62 в Аризоне начнётся в 2025 году, хотя со временем этот же техпроцесс должны освоить и строящиеся предприятия в штате Огайо. На слайде презентации сроки их ввода в эксплуатацию не указаны, что лишь подливает масла в огонь слухов о задержке с реализацией данного проекта. Первоначально техпроцесс Intel 18A будет осваиваться в Орегоне, где у компании есть исследовательский центр и экспериментальная производственная линия. Это позволит начать выпуск чипов по технологии Intel 18A до конца текущего года.

Какое из предприятий Intel в будущем освоит выпуск продукции по техпроцессу 10A, не уточняется. Ещё одним важным направлением стратегического развития Intel станет внедрение технологий искусственного интеллекта на производстве. В этом десятилетии на предприятиях компании появятся так называемые «коботы» (англ. cobot) — роботы, способные сосуществовать на конвейере с людьми. К началу следующего десятилетия масштабы внедрения искусственного интеллекта при производстве чипов Intel достигнут такого уровня, что позволят автоматизировать не только сам выпуск продукции, но и планирование объёмов производства. К концу текущего десятилетия Intel рассчитывает стать вторым по величине контрактным производителем чипов в мире.

SK hynix начнёт изучать оборудование ASML для EUV-литографии с высоким значением числовой апертуры

В конце декабря прошлого года нидерландская компания ASML отгрузила первый экземпляр литографического сканера поколения EUV High-NA, который характеризуется высоким значением числовой апертуры и повышает разрешающую способность оборудования при производстве полупроводниковых компонентов. Получателем этой установки стала компания Intel, но руководство SK hynix утверждает, что этот корейский производитель памяти тоже интересуется таким оборудованием.

 Источник изображения: SK hynix

Источник изображения: SK hynix

Отметим, что производители памяти в целом достаточно долго тянули с переходом на так называемую EUV-литографию, и та же SK hynix начала применять профильное оборудование только в 2021 году при производстве микросхем памяти типа DRAM. По информации Business Korea, генеральный директор SK hynix Квак Но Чон (Kwak Noh-jung) на мероприятии Ассоциации производителей полупроводниковой продукции Южной Кореи поделился некоторыми планами компании относительно развития бизнеса.

Во-первых, он заявил, что не может комментировать слухи о готовности SK hynix построить предприятие по упаковке чипов HBM непосредственно в штате Индиана. По его словам, все американские штаты являются потенциальными кандидатами на размещение подобного предприятия.

Во-вторых, глава SK hynix опроверг возобновление переговоров между Western Digital и Kioxia о покупке бизнеса последней. Как известно, именно SK hynix своими возражениями сорвала эти переговоры в прошлом году. Неизменной остаётся позиция SK hynix по этому вопросу и сейчас, как отметил глава компании. Впрочем, он добавил, что если Kioxia готова к взаимовыгодному сотрудничеству с SK hynix, то последняя всегда готова рассмотреть соответствующие предложения.

Наконец, глава SK hynix признался, что компания готовится получить от ASML оборудование для производства чипов памяти с использованием EUV-литографии с высоким значением числовой апертуры. При этом он отказался пояснять, когда данное оборудование начнёт применяться компанией в условиях массового производства, но дал понять, что это произойдёт в нужный момент.

Samsung начала привлекать клиентов на 2-нм техпроцесс — среди них может оказаться Qualcomm

В своё время Samsung Electronics опередила TSMC с формальным освоением массового производства 3-нм изделий, но большого коммерческого успеха на рынке услуг по контрактному производству чипов это ей не принесло. Пытаясь в очередной раз заявить о своём технологическом потенциале, Samsung сейчас привлекает клиентов на свой 2-нм техпроцесс.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

По крайней мере, тайваньское издание DigiTimes со ссылкой на источники сообщает, что Samsung договорилась с Qualcomm о выпуске прототипов 2-нм мобильных процессоров для этого американского разработчика, рассчитывая в дальнейшем получить заказы на их серийное производство. Напомним, что Qualcomm в своём выборе контрактных производителей демонстрирует определённую всеядность, но сотрудничество с Samsung в рамках 4-нм технологии не заладилось из-за проблем с уровнем брака, поэтому от услуг корейского подрядчика Qualcomm на какое-то время отказалась. Если доверие к Samsung будет восстановлено при переходе на 2-нм техпроцесс, это может благотворно повлиять на контрактный бизнес компании.

Издание Business Korea со ссылкой на зарубежные СМИ сообщает, что среди потенциальных клиентов Samsung на контрактный выпуск 2-нм чипов оказалась и молодая японская компания Preferred Networks, которая занимается разработкой ускорителей вычислений для систем искусственного интеллекта, а её инвесторами являются Toyota, NTT и Fanuc. По мнению отраслевых источников, Samsung привлекла этого заказчика не столько качествами своего 2-нм техпроцесса, сколько возможностями интеграции с памятью типа HBM, которую также выпускает. Кроме того, японский разработчик мог просто пытаться найти альтернативу услугам TSMC, которые слишком востребованы, а потому могут формироваться длинные очереди и прочие неудобства.

ASML считает, что литографические сканеры нового поколения начнут использоваться в массовом производстве чипов с 2026 года

Отгрузить Intel первый литографический сканер с высокой числовой апертурой ASML успела ещё в декабре прошлого года, но уже тогда было известно, что в рамках серийного производства чипов по технологии Intel 18A подобное оборудование использоваться не будет. Сама ASML утверждает, что в массовом производстве сканеры поколения High-NA начнут использоваться клиентами с 2026–2027 года.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что первый литографический сканер с высокой числовой апертурой Intel собирается использовать для экспериментов в своём исследовательском центре в Орегоне в сочетании с технологией Intel 18A, но в серийном варианте такое оборудование будет внедрено лишь на последующих ступенях литографии. На этой неделе, как сообщает Reuters, нидерландская компания ASML пригласила представителей прессы на своё предприятие, чтобы продемонстрировать образцы подобного оборудования и рассказать о перспективах их применения.

Интересно, что аналитики и представители ASML расходятся в своих представлениях о сроках разумного внедрения оборудования класса High-NA в условиях массового производства чипов. Эксперты Semianalysis, например, предполагают, что экономически целесообразным использование таких литографических сканеров станет не ранее 2030 года. Руководство ASML отвергает такие доводы, настаивая, что переход на новое поколение сканеров обеспечит экономическую отдачу гораздо раньше, и он начнётся уже в 2026 или 2027 году.

Интерес к такому оборудованию ASML уже проявляют Samsung и TSMC, но руководство последней недавно дало понять, что экономическая целесообразность будет серьёзно влиять на сроки внедрения технологии High-NA на конвейере этого крупнейшего контрактного производителя чипов в мире. Во-вторых, TSMC не готова переводить техпроцессы клиентов на новое оборудование, если это не будет им удобно. Словом, складывается впечатление, что TSMC пока не готова спешить с использованием литографического оборудования с высокой числовой апертурой.

Представители ASML на этой неделе пояснили, что выпускаемые ею литографические системы поколения High-NA весят 150 тонн, и в разобранном состоянии занимают 250 контейнеров. Чтобы привести такой сканер в готовность к работе, требуется труд 250 инженеров на протяжении шести месяцев. Сейчас ASML располагает от 10 до 20 заказами на поставку таких сканеров от клиентов, причём производители памяти в лице Micron и SK hynix тоже проявляют к ним интерес. К 2028 году компания ASML собирается наладит выпуск до 20 таких систем на ежегодной основе. Производители чипов при помощи нового оборудования могут уменьшить геометрические размеры полупроводниковых элементов на 40 %, увеличивая плотность размещения транзисторов до трёх раз. Одна такая система стоит около $380 млн. В течение этого года клиентам будет отгружено несколько экземпляров такого оборудования.

Спрос на машины Canon для нанопечати 5-нм чипов превзошёл ожидания

В прошлом году Canon объявила, что созданное ей оборудование для нанопечати 5-нм чипов будет стоить в 10 раз дешевле, чем литографические сканеры ASML для создания аналогичных чипов. Теперь же японская компания заявила, что интерес к этим машинам со стороны потенциальных покупателей оказался выше ожиданий.

 Источник изображения: Canon

Источник изображения: Canon

ASML является самой дорогой технологической компанией Европы благодаря производству и поставкам литографического оборудования для производства чипов, в том числе и установок, которые работают в экстремальном ультрафиолете (EUV). Такое оборудование использует для производства передовых чипов, например, тайваньская TSMC, являющаяся крупнейшим в мире контрактным производителем полупроводниковой продукции.

Классическая фотолитография предполагает нанесение очертаний будущей микросхемы на кремниевую подложку методом проекции изображения через фотомаску и последующее вытравливание частей кристалла, которые не защищены фоторезистивным материалом. В Canon создали технологию, которая предполагает нанесение очертаний микросхемы на кремний с помощью нанопечати. В компании заявили, что технология полностью готова к внедрению в массовое производство и соответствующее оборудование начнёт поставляться покупателям в этом году.

«Хотя ещё слишком рано говорить о том, когда эти машины внесут вклад в продажи Canon, реакция была лучше, чем мы ожидали», — приводит источник слова Минору Асада (Minoru Asada), главы финансового и бухгалтерского подразделений Canon. Он также добавил, что на начальном этапе компания будет выпускать оборудование для производства чипов памяти 3D NAND, а позднее планирует поставлять оборудования для выпуска чипов других типов. В этом году Canon планирует реализовать 247 машин для производства чипов, тогда как в прошлом году компания реализовала 187 единиц оборудования этого типа.

Canon начнёт поставлять машины для недорогой нанопечати 5-нм чипов в этом году

В начале ноября представители японской компании Canon заявили, что разработанное ею оборудование для нанопечати позволит создавать 5-нм чипы в десять раз дешевле, чем с использованием аналогов ASML. На этой неделе стало известно, что Canon не только начнёт поставлять такое оборудование в текущем году, но и со временем рассчитывает освоить 2-нм технологию методом нанопечати.

 Источник изображения: Canon

Источник изображения: Canon

Напомним, что классическая фотолитография подразумевает нанесение очертаний будущей микросхемы на кремниевую подложку методом проекции изображения через фотомаску с последующим вытравливанием частей кристалла, не защищённых фоторезистивным материалом. Технология Canon подразумевает нанесение очертаний микросхемы на кремний методом нанопечати. По словам представителей японской компании, данная технология уже готова к внедрению в массовое производство, и профильное оборудование начнёт поставляться клиентам уже в этом году.

Эксперты считают, что сенсации не случится, и на первых порах технология Canon, которая позволяет до десяти раз экономить на оборудовании по сравнению с классической фотолитографией, и снижать энергозатраты на 90 %, будет использоваться преимущественно при производстве микросхем памяти типа 3D NAND, которые имеют достаточно примитивную структуру. Заменить EUV-литографию в промышленных масштабах технология Canon не сможет, но они будут сосуществовать.

Сейчас перед Canon открывается уникальная возможность привлечь внимание клиентов к данной технологии производства чипов, поскольку на рынке фотолитографического оборудования возникли очереди по ожиданию поставки на полтора года. Представители компании утверждают, что с уровнем брака продукции у этой технологии всё в порядке, хотя сторонние эксперты считают, что он не должен превышать 10%, чтобы обеспечивать коммерческую привлекательность. Со временем Canon рассчитывает научиться «печатать» и 2-нм чипы. По словам представителей компании, внедрение данного оборудования в современные технологические процессы не требует существенной адаптации, хотя часть дополнительного оборудования производителям придётся заменить.

С точки зрения возможности закупки такого оборудования китайскими клиентами Canon не всё так однозначно. С одной стороны, оно не использует компонентов и технологий американского производства, а потому власти США не могут диктовать японской компании условия поставок такого оборудования на экспорт. С другой стороны, собственные экспортные ограничения Японии в отношении Китая заметно шире американских, и в целом ситуация слишком неопределённая, чтобы делать какие-то прогнозы относительно перспектив решений Canon на китайском рынке.

TSMC скептически оценила планы Intel по захвату лидерства в сфере производства передовых чипов

Амбиции Intel по превращению в лидера отрасли производства полупроводников к 2025 году с некоторой неохотой оспариваются вербально представителями действующего лидера в лице тайваньской TSMC. Руководство этой компании сочло нужным напомнить, что к моменту освоения технологии Intel 18A в 2025 году TSMC будет более двух лет выпускать в большом ассортименте продукцию по технологии N3P, которая предлагает сопоставимые возможности.

 Источник изображения: Intel

Источник изображения: Intel

Фактически, подобное сопоставление двух техпроцессов генеральный директор TSMC и будущий председатель совета директоров Си-Си Вэй (C.C. Wei) делал ещё в октябре, поэтому на минувшей отчётной конференции на этой неделе он лишь подтвердил справедливость сказанного ранее, когда аналитики попросили дать актуальную оценку ситуации. Напомним, что в октябре глава TSMC признал собственную технологию N3P сопоставимой с Intel 18A по достигаемым параметрам производительности, энергопотребления и плотности размещения транзисторов. Сейчас эта оценка не изменилась, хотя у TSMC и появились некоторые новые данные в этой сфере.

Глава компании также отметил, что зрелость технологии является большим преимуществом с точки зрения охвата рынка. В частности, если Intel к 2025 году только начнёт выпускать в массовых количествах свои чипы, изготавливаемые по технологии Intel 18A ангстремного класса, то TSMC к тому моменту уже более двух лет будет производить по технологии N3P изделия для широкого круга клиентов и в широчайшей номенклатуре — от чипов серверного назначения до компонентов смартфонов.

Действующий председатель совета директоров Марк Лю (Mark Liu) добавил, что вертикально интегрированный производитель, коим исторический считалась Intel, ориентируется на оптимизацию новых техпроцессов под собственные изделия. «Мы, как контрактный производитель, оптимизируем технологию для продуктов наших клиентов. В этом заключается существенная разница», — пояснил он. Генеральный директор TSMC заявил: «Мы собираемся сохранить за собой лидерство в сфере технологий, и у нас будет шире круг клиентов — нашими услугами будут пользоваться буквально все». Не является исключением и сама Intel, поэтому руководство TSMC предпочло не особо вдаваться в обсуждение конкуренции с ней.

В феврале Intel расскажет, как будет развиваться после освоения техпроцесса 18A

В уходящем году генеральный директор Intel Патрик Гелсингер (Patrick Gelsinger) уже отмечал, что возглавляемая им компания преодолела более половины пути к освоению пяти новых техпроцессов за четыре года. К середине десятилетия она должна наладить массовый выпуск чипов по технологии Intel 18A, причём не только для своих нужд, но и для сторонних клиентов. Последних предлагается познакомить с перспективными планами Intel на мероприятии в феврале наступающего года.

 Источник изображения: Intel

Источник изображения: Intel

На официальном сайте Intel уже появилась страница, призванная привлекать потенциальных участников мероприятия, которое состоится в калифорнийском Сан-Хосе 21 февраля 2024 года. Исходя из названия — IFS Direct Connect 2024 — можно понять цель этого мероприятия. Руководители Intel и контрактного бизнеса компании в частности готовы выступить перед потенциальными и действующими клиентами, рассказав о перспективных планах процессорного гиганта в этом сегменте рынка.

Помимо генерального директора Патрика Гелсингера, участие в мероприятии примут Стюарт Панн (Stuart Pann) — старший вице-президент и руководитель подразделения Intel Foundry Service (IFS), Кейван Эсфарджани (Keyvan Esfarjani) — исполнительный вице-президент и руководитель Intel по производству, цепочкам поставок и операциям, а также доктор Энн Келлехер (Ann Kelleher), которая в должности исполнительного вице-президента руководит в компании разработкой техпроцессов. Более представительного состава докладчиков для клиентов контрактного бизнеса Intel и придумать сложно.

Помимо представителей Intel, на мероприятии выступят с докладами компании, входящие в экосистему IFS — такие как Synopsys, Cadence, Siemens и Ansys. Кто-то из приглашённых экспертов составит компанию Энн Келлехер при рассказе о намерениях Intel развивать полупроводниковый бизнес на том этапе, когда пять новых техпроцессов за четыре года уже будут освоены. То есть нам расскажут о более отдалённых перспективах развития контрактного бизнеса Intel. Внимание будет уделено и технологиям упаковки чипов и их тестирования. Программа мероприятия рассчитана на один день.

Без использования новых материалов переход к более современным техпроцессам стал невозможным

В ближайшие годы TSMC, Intel и Samsung собираются освоить выпуск чипов по литографическим нормам ангстремного порядка — менее 2 нм, и представители отрасли заявляют, что такой переход нельзя будет осуществить без существенных инноваций в области расходных материалов и химикатов для производства полупроводниковых компонентов.

 Источник изображения: Entegris

Источник изображения: Entegris

Технический директор американского поставщика расходных материалов Entegris Джеймс О’Нил (James O’Neill) в интервью изданию Nikkei Asian Review заявил, что в настоящее время не литографическое оборудование определяет возможность освоения более «тонких» техпроцессов — эта роль перешла к передовым материалам и очищающим растворам, используемым при обработке кремниевых пластин. По его словам, сегодня именно инновации в сфере применяемых материалов обеспечивают прогресс в росте производительности полупроводниковых компонентов.

Генеральный директор электронного бизнеса химической корпорации Merck Кай Бекманн (Kai Beckmann) поддержал коллегу, пояснив, что на протяжении предыдущих двадцати лет прогресс в сфере литографии определялся профильным оборудованием, но следующее десятилетие клиенты компании называют «эрой материалов». По словам представителя Merck, «инструменты по-прежнему важны, но теперь именно материалы обеспечивают разницу». Данное утверждение справедливо не только для сегмента системной логики, но и для микросхем памяти. Твердотельная память типа 3D NAND, например, сейчас использует более 230 слоёв в массовом производстве, а в перспективе их количество может вырасти до 500 штук.

Технический директор Entegris сравнил работу с химикатами при производстве чипов с трёхмерной структурой транзисторов с процессом нанесения краски на здания Нью-Йорка при помощи распыления с вертолёта. Покрытие должно ложиться равномерно по всей высоте «небоскрёбов», а после завершения работы у производителя должна иметься возможность «помыть улицы». Химикаты нового поколения должны обеспечивать высокую точность обработки кремниевых элементах в масштабах, при которых взаимодействие ведётся буквально на атомарном уровне. Особое значение обретает чистота используемых растворов, поскольку она непосредственно влияет на процент брака при производстве чипов.

В качестве проводника в составе чипов давно используется медь, но по мере уменьшения их размеров встаёт вопрос о поиске новых материалов типа молибдена, и это серьёзным образом влияет на весь ход развития полупроводниковой отрасли. Чтобы перейти к новым литографическим нормам, может потребоваться совершенно новый набор материалов. Это подразумевает существенные инвестиции, поэтому новичкам в этой отрасли практически нереально закрепиться на рынке. Генеральный директор Entegris Бертран Лой (Bertrand Loy) считает, что вектор развития отрасли продолжат формировать сложившиеся силы. Крупные компании, по его словам, будут становиться сильнее и демонстрировать готовность к инвестициям, поскольку они обеспечат их конкурентное преимущество.

Российские физики возродят синхротрон времён СССР для производства микросхем

Директор ИЯФ, академик РАН Павел Логачев, сообщил, что специалисты Института ядерной физики им. Г. И. Будкера СО РАН (Новосибирск) планируют за три года восстановить технологический накопительный комплекс (ТНК) в Зеленограде. ТНК был построен к 1991 году, но в связи с распадом СССР не был запущен в работу. Фактически, это ускоритель частиц, энергию которых можно использовать для полупроводниковой литографии.

 Источник изображения: ИИ-генерация Кандинский 3.0/3DNews

ИИ-генерация по запросу «российский синхротрон». Источник изображения: Кандинский 3.0/3DNews

«Технологический накопительный комплекс будет востребован для разработки отечественной технологической цепочки производства микроэлектроники. Это будет основной инструмент, который позволит создавать, испытывать и отлаживать технологию так называемых литографов, которые делает, фактически, одна компания в мире. Нашим заказчиком является Курчатовский институт, и мы очень тесно работаем с их командой над этой большой и важной для страны задачей», — сказал Логачев.

Академик имеет в виду литографические сканеры, производимые нидерландской компанией ASML. Установки ASML достаточно компактные, чтобы их можно было перевозить в любой уголок мира на завод для выпуска чипов. Чтобы создать отечественный литограф, нужен инструмент для разработки его элементов, их проверки и испытаний. Таким инструментом и может стать синхротрон. ТНК — это и есть синхротронная установка, которую строили в Зеленограде для последующего использования в производстве чипов.

Очевидно, что восстановить комплекс будет быстрее и проще, чем создать заново. Физики обещают восстановить работу установки за три года, и обойдётся это в 500 млн руб., вместо 10 млрд, если бы всё пришлось строить с нуля. Параллельно будут разрабатываться литографы, их разработчики смогут получать излучение от синхротрона. Ускоритель будет оставаться самостоятельным промышленным объектом, а такой проект плохо поддаётся как масштабированию, так и тиражированию. Но так как данная система будет ориентирована в лучшем случае на мелкосерийное производство критически важных компонентов литографов, вопрос рентабельности привязанного к ускорителю производства стоит на втором месте.

Кстати, с учётом синхротронного излучения в России начали разрабатывать безмасочные технологии полупроводниковой литографии. Маски — это отдельная и больная тема. Для мелкосерийного производства безмасочная технология станет настоящим спасением. Технология вполне может быть готова к запуску литографов через пять лет. Сегодня корпус с ТНК принадлежит Курчатовскому институту. Расположен корпус рядом с заводом «Микрон» в Зеленограде.

Вторым по стоимости прибором после литографа в технологиях микроэлектроники является имплантер. Это два самых высокотехнологичных устройства во всех технологических цепочках изготовления микросхем. Работы на этом направлении ведутся совместно с НИИ точного машиностроения (Зеленоград). В частности, новосибирские физики разрабатывают ускорительную часть для имплантора. С его помощью пластины будут насыщаться ионами и приобретать необходимые свойства, требуемые для работы микросхем.

«Здесь тоже за три года мы сделаем опытный образец машины на средние энергии и на высокие энергии, и, таким образом, совместно с НИИ точного машиностроения постараемся эту позицию закрыть в [отечественной] технологической цепочке», — добавил академик.

Дополнено:

Стоит добавить, что летом 2019 года президент России подписал указ №356 о мерах по развитию синхротронных и нейтронных исследований и исследовательской инфраструктуры, в котором помимо прочего были обозначены сроки создания новых научных установок в этой сфере, пишет «Зеленоград.ру». Правительству России было поручено к 2022 году обеспечить проектирование синхротронного центра на острове Русский (Владивосток) и здания для переноса в него конструктивных блоков и агрегатов источника синхротронного излучения «Зеленоград». По состоянию на 2022 год были озвучены планы по строительству необходимой инфраструктуры для установки синхротрона. Проект планируется завершить к 2026 году. На данный момент неизвестно, начался ли перенос частей зеленоградского синхротрона на другой конец России и на какой стадии находится проект.

Развитие под санкциями: китайская SMIC разрабатывает технологии выпуска 3-нм чипов без EUV

Несмотря на отсутствие доступа к оборудованию для выпуска чипов с литографией в экстремальном ультрафиолете (EUV) из-за санкций, китайская компания SMIC продолжает разработку 5-нм и 3-нм техпроцессов производства чипов. Ранее SMIC удалось наладить серийное производство 7-нм микросхем, опираясь исключительно на литографию в глубоком ультрафиолете (DUV), что само по себе не является невозможным — техпроцесс TSMC N7P также не использует EUV.

 Источник изображения: SMIC

Источник изображения: SMIC

В отчёте Nikkei утверждается, что сразу после запуска 7-нм техпроцесса 2-го поколения, SMIC создала исследовательскую группу для работы над 5-нм и 3-нм техпроцессами. Команду возглавляет ранее работавший в TSMC и Samsung содиректор SMIC Лян Монг-Сонг (Liang Mong-Song). «Нет более умного учёного или инженера, чем этот парень, — так охарактеризовал его Дик Терстон (Dick Thurston), бывший главный юрисконсульт TSMC. — Он действительно один из самых блестящих умов, которых я видел в области полупроводников».

SMIC прошла долгий путь от небольшой полупроводниковой фабрики до пятого по величине контрактного производителя микросхем в мире. На фоне растущей напряжённости между США и Китаем компания была включена в санкционный список Министерства торговли США и потеряла доступ к передовым инструментам для обработки кремниевых пластин, что серьёзно замедлило её развитие и внедрение новых технологических процессов.

На данный момент литографические машины ASML Twinscan NXT:2000i являются лучшими инструментами, которыми располагает SMIC — они могут производить травление с разрешением до 38 нм. Этот уровень точности обеспечивает экспонирование с шагом 38 нм с использованием двойной фотомаски, чего достаточно для производства чипов класса 7 нм. Согласно исследованиям ASML и IMEC, при 5 нм шаг металла уменьшается до 30-32 нм, а при 3 нм — до 21-24 нм, что уже требует применения EUV.

 Источник изображения: ASML

Источник изображения: ASML

Но использование инструментов литографии со сверхвысоким разрешением (13 нм для EUV с низкой числовой апертурой) — не единственный путь к достижению сверхмалых размеров транзисторов. Другой вариант предусматривает нанесение нескольких последовательных масок, но это сложный процесс, который увеличивает продолжительность производственного цикла, снижает процент выхода годных изделий, увеличивает износ оборудования и повышает затраты. Однако без доступа к EUV-литографии у SMIC просто нет другого выбора, кроме как использовать тройное, четверное или даже пятикратное паттернирование.

Терстон считает, что под руководством Лян Монг-Сонга SMIC сможет производить (если уже не производит) 5-нм чипы в больших количествах без использования инструментов EUV. Однако сегодняшний отчёт Nikkei впервые сообщает о возможной способности SMIC разработать в обозримом будущем 3-нм производственный процесс на оборудовании класса DUV.

ASML отгрузила Intel первый литографический сканер с высокой числовой апертурой

Длительное время способность Intel выпускать чипы по передовому для компании техпроцессу 18A привязывалась к литографическому оборудованию с высоким значением числовой апертуры (High-NA), но недавно выяснилось, что оно имеет значение лишь для экспериментов, а не серийного производства. Тем не менее, первая такая система производства ASML лишь недавно была отгружена поставщиком для нужд Intel.

 Источник изображения: Intel, X

Источник изображения: Intel, ASML, X

Представители Intel уже давно не без гордости регулярно говорили о намерениях компании стать первым клиентом ASML, получающим литографические сканеры с увеличенным с 0,33 до 0,55 значением числовой апертуры. Данная характеристика позволяет при использовании сверхжёсткого ультрафиолетового излучения (EUV) добиться линейного разрешения 8 нм против 13 нм у оборудования со значением числовой апертуры 0,33. Формально, последнее тоже позволяет изготавливать чипы по технологиям «тоньше» 2 нм, но потребует более сложной оснастки из-за необходимости двойной экспозиции и увеличит продолжительность производственного цикла.

Впрочем, если учесть, что ASML лишь на этой неделе подтвердила отправку первого литографического сканера с высокой числовой апертурой для нужд Intel, и в массовом производстве по техпроцессу 18A последняя всё равно будет полагаться на оборудование предыдущего поколения, для данного клиента это событие в большей степени обеспечивает некоторую фору при освоении последующих техпроцессов, которые в массовом производстве будут внедрены уже в 2026 и 2027 годах. Напомним, что во второй половине десятилетия Intel рассчитывает войти в число двух крупнейших контрактных производителей чипов, и новейшие техпроцессы она будет предлагать сторонним клиентам с минимальной задержкой относительно момента внедрения на собственном производстве. К середине десятилетия Intel рассчитывает превзойти TSMC и Samsung по степени продвинутости используемых техпроцессов. Первые образцы изделий, выпускаемых по технологии Intel 18A, появятся уже в следующем квартале.

В заявлениях ASML не говорится о модели литографического сканера, который был отгружен компании Intel, ни о конечном адресе доставки, но из неофициальных источников известно, что речь идёт о прототипе Twinscan EXE:5000, который будет доставлен в исследовательский центр Intel в штате Орегон, где расположена передовая лаборатория компании. Система упакована в 250 крупных ящиков и занимает 13 контейнеров, с учётом времени доставки и последующего монтажа Intel сможет приступить к её эксплуатации лишь через несколько месяцев. Считается, что в серийном производстве Intel будет использовать более совершенные сканеры Twinscan EXE:5200, которые будут поставлены позже. Стоимость каждой такой системы измеряется несколькими сотнями миллионов долларов США. По крайней мере, Twinscan EXE:5200 оценивается аналитиками в 250 млн евро.


window-new
Soft
Hard
Тренды 🔥
Владелец Ticketmaster подтвердил утечку данных 560 млн пользователей спустя 11 дней 2 ч.
В Telegram добавили сообщения с анимированными эффектами, глобальные хештеги и другие нововведения 2 ч.
Anthropic позволит создавать персональных помощников на базе ИИ-чат-бота Claude 4 ч.
ElevenLabs запустила ИИ-генератор звуковых эффектов по текстовому описанию 4 ч.
Новая статья: Songs of Conquest — песнь величия. Рецензия 16 ч.
В ранний доступ Steam ворвался олдскульный шутер Selaco на движке классических Doom — с перестрелками и умными врагами в духе F.E.A.R. 18 ч.
Warhorse официально подтвердила перевод на русский язык в Kingdom Come: Deliverance 2 19 ч.
Perplexity AI превратит поисковую выдачу в веб-страницу, которой удобно делиться с другими 19 ч.
Google добавила редактирование RCS-сообщений и другие полезные функции в Android 20 ч.
Эндгейм подкрался незаметно: авторы перспективного «дьяблоида» Wolcen: Lords of Mayhem решили забросить разработку всего через четыре года после релиза 20 ч.
Starlink хочет открыть для пользователей спутниковую сотовую связь уже осенью 31 мин.
Вулканическую юпитерианскую луну Ио впервые сняли с Земли в лучшем разрешении, чем с космических аппаратов 2 ч.
Новые спутники Starlink могут уничтожить радиоастрономию на Земле, предупреждают учёные 4 ч.
Корейский профсоюз Samsung объявил забастовку, но на производство и поставки памяти это не повлияет 4 ч.
Последний «дружественный» поставщик VSAT-оборудования Gilat Satellite Networks приостановил работу в РФ 4 ч.
Samsung проиграла Huawei и больше не первая по продажам складных смартфонов в мире 4 ч.
Минцифры предлагает выдавать льготные кредиты для строительства ЦОД 5 ч.
Госархив РФ построит в Калужской области дата-центр за 1 млрд руб., оснастив его российскими серверами и СХД Depo 5 ч.
В метеорите с Марса учёные разглядели образ древней Земли 5 ч.
Blackview выпустила защищённый смартфон Oscal Pilot 2 с двумя экранами и мини-ПК MP100 с мощной начинкой 5 ч.