Сегодня 02 июня 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → tsmc
Быстрый переход

TSMC уверена в запуске 2-нм техпроцесса в следующем году, а Samsung намерена ускорить освоение 1-нм технологии

Тайваньский контрактный производитель чипов TSMC рассказал о том, как идёт освоение 2-нм технологического процесса производства микросхем. Компания уверена в успехе новой технологии — она считает, что 2-нм техпроцесс привлечёт больше клиентов, чем 3-нм.

 Источник изображения: Business Korea

Источник изображения: Business Korea

Выступая на технологическом форуме 23 мая, вице-президент TSMC Чжан Сяоган (Zhang Xiaogang) заявил, что «развитие 2-нм техпроцесса идёт гладко» и что «массовое производство чипов по нормам 2-нм должно стать возможным примерно в 2025 году, как и планировалось», пишет тайваньское издание Industrial and Commercial Times. Таким образом, заявление топ-менеджера TSMC опровергает ранее ходившие слухи о том, что массовое производство чипов на основе 2-нм техпроцесса из-за технических проблем начнётся не раньше 2026 года.

Слухи о том, что TSMC откладывает запуск 2-нм техпроцесса возникли на фоне того, что этот техпроцесс предполагает переход к производству транзисторов с круговым затвором GAA (Gate-All-Around). Эта технология была представлена компанией Samsung в 2022 году, когда производитель анонсировал переход к массовому производству чипов с применением 3-нм техпроцесса. GAA позволяет снизить утечку тока в транзисторах, повысив тем самым энергоэффективность чипов в целом. По словам Чжана Сяогана, «выход годной продукции с применением технологии GAA достиг 90 % от целевого показателя».

«Спрос на 2-нм техпроцесс превысит спрос на 3-нм и 5-нм техпроцессы», — в свою очередь выразил уверенность генеральный директор TSMC Вэй Чжэцзя (Wei Zhejia). Он добавил, что компания также планирует к концу года утроить производственные мощности для выпуска 3-нм продуктов, но даже в этом случае не сможет покрыть все заказы.

Уверенность TSMC в успехе нового техпроцесса объясняется её сотрудничеством с Apple — самым крупным клиентом TSMC, на которого приходится до 25–30 процентов заказов. Ранее сообщалось, что операционный директор Джефф Уильямс (Jeff Williams) недавно посетил Тайвань для проведения переговоров с TSMC о перспективе выпуска ИИ-чипов.

По данным издания Business Korea, компания Samsung планирует провести 12–13 июня в Кремниевой долине мероприятие Foundry and SAFE Forum, на котором, как ожидается, представит новую технологическую «дорожную карту» и сообщит о переносе старта массового производства чипов по нормам 1 нм с 2027 на 2026 год.

Чип Tensor G5 для будущих смартфонов Google Pixel 10 будет выпускать TSMC

С 2021 года компания Samsung не только помогает компании Google разрабатывать мобильные чипы семейства Tensor, но и выпускает их по её заказу. Подобный альянс в известной мере привязывал Google к услугам контрактного подразделения Samsung, но издание Android Authority смогло найти доказательства подготовки к анонсу процессора Tensor G5, который будет выпускаться компанией TSMC.

 Источник изображения: Google

Источник изображения: Google

Процессор Tensor G4, который ляжет в основу смартфонов серии Pixel 9, всё ещё будет выпускаться компанией Samsung, а вот его преемник может стать первым процессором для мобильных устройств, которые Google поручит выпускать тайваньской компании TSMC. Как утверждает Android Authority, доказательства такой миграции были обнаружены в открытых базах данных, содержащих декларации, необходимые для перевозки образцов разрабатываемых процессоров через границы различных государств.

Расшифровав мудрёную маркировку пересылаемых образцов, авторы исходной публикации пришли к выводу, что Google уже располагает образцами процессоров Tensor G5 с условным обозначением Laguna Beach, а упаковкой их по методу InFO POP занимается тайваньская компания TSMC, которая на нём как раз и специализируется. Чип относится к ранней ревизии A0, поэтому сейчас даже нельзя гарантировать его полную работоспособность. До вывода процессоров этой модели на рынок осталось около 16 месяцев, так что имеющиеся образцы сейчас находятся на самом раннем этапе эволюции, если так можно выразиться. Процессор оснащается интегрированной на упаковку памятью DRAM объёмом 16 Гбайт производства Samsung Electronics. Это совпадает с предполагаемыми характеристиками Tensor G5, упоминаемыми в ранних новостях.

Таможенная документация также позволяет понять, что экспортёром образца процессора является тайваньское представительство Google, а импортёром в Индию является Tessolve Semiconductor. Компания специализируется на тестировании полупроводниковых компонентов. Вполне возможно, что теперь Google вместо услуг Samsung использует возможности как TSMC, так и Tessolve Semiconductor.

Предприятие TSMC в китайском Нанкине получило бессрочную лицензию США, позволяющее продолжить работу

Предприятиями по производству чипов с использованием технологий американского происхождения на территории Китая располагают не только южнокорейские компании Samsung Electronics и SK hynix, но и тайваньская TSMC. Последней только недавно удалось получить постоянную экспортную лицензию от властей США, позволяющую продолжать работу с умеренно продвинутыми техпроцессами.

 Источник изображения: TSMC

Источник изображения: TSMC

Как поясняет TrendForce, предприятие TSMC в Нанкине способно работать с 12-нм, 16-нм, 22-нм и 28-нм техпроцессами, а также использовать структуру транзисторов FinFET, а это уже те «пограничные» технологии в области литографии, доступ к которым на территории Китая американские власти хотели бы ограничить. Как сообщило на этой неделе издание Commercial Times, если в октябре 2022 года предприятие TSMC в Нанкине сохранило право продолжать работу с технологиями американского происхождения и получать новое оборудование по временному разрешению властей США, то в этом месяце оно обзавелось статусом «проверенного конечного пользователя». По сути, он позволяет TSMC на бессрочной основе выпускать чипы в Нанкине и модернизировать предприятие, расширяя в случае необходимости производственные мощности и обеспечивать его нужным технологическим оборудованием без отдельных согласований поставок с американскими чиновниками.

По 16-нм технологии предприятие TSMC в Нанкине собирается выпускать и магниторезистивную память типа MRAM, включая и адаптированный для нужд автомобильной промышленности вариант. Учитывая бурный рост китайского автопрома, наличие в стране предприятия ведущего мирового контрактного производителя чипов будет иметь важное значение для местных заказчиков. Второе предприятие TSMC в Шанхае специализируется на более зрелых техпроцессах, которые в поле зрения американских регуляторов пока не попадают.

Вплоть до 1,6 нм: за два следующих года TSMC освоит четыре новых техпроцесса

На прошлой неделе представители TSMC заявили, что до конца текущего года компания начнёт серийное производство чипов по технологии N3P, и это подтолкнуло сотрудников ресурса AnandTech обобщить всю доступную о ближайших планах компании информацию в одной таблице. В 2025 и 2026 годах TSMC намерена внедрить четыре новых техпроцесса.

 Источник изображения: TSMC

Источник изображения: TSMC

Непосредственно на следующий год запланировано освоение техпроцессов N3X и N2, причём данные события будут сосредоточены во второй половине 2025 года, если всё пойдёт по графику. В какой-то мере техпроцессы N3X и N2 будут конкурировать друг с другом за предпочтения клиентов TSMC. Первый должен снизить уровень энергопотребления на 7 % относительно N3P, который будет освоен во второй половине текущего года. Скорость переключения транзисторов вырастет на 5 % при напряжении 1,2 В при прежней плотности размещения транзисторов, а последний показатель увеличится в 1,1 раза при неизменной тактовой частоте.

 Источник изображения: AnandTech

Источник изображения: AnandTech

Техпроцесс N2 обещает снизить энергопотребление на 25–30 % относительно N3E, который освоен с четвёртого квартала прошлого года. При этом скорость переключения транзисторов вырастет на 10–15 %, а плотность их размещения вырастет в 1,15 раза. Такой же прирост по плотности обеспечит относительно N3E техпроцесс N2P, который будет освоен во второй половине 2026 года, а вот выигрыш по энергопотреблению увеличится до 30–40 %, тогда как скорость переключения транзисторов вырастет на 15–20 %. Другими словами, прямое сравнение N2 и N2P обеспечит не такую уж заметную выгоду по энергопотреблению (5–10 %) и быстродействию (5–10 %), а плотность размещения транзисторов и вовсе останется неизменной.

В рамках техпроцесса N2 компания TSMC впервые внедрит структуру транзисторов с нанолистами и окружающим затвором (GAA). Это должно значительно улучшить производительность, снизить энергопотребление и увеличить плотность размещения транзисторов. Конкурирующий техпроцесс N3X может превзойти N2 по быстродействию, особенно на более высоких напряжениях. Кому из клиентов TSMC технология N3X может больше понравиться в виду отсутствия изменений в структуре транзисторов (FinFET), что должно благоприятно сказаться на уровне брака.

На 2026 год у TSMC запланировано освоение техпроцессов N2P и A16. Последний будет ориентированной на повышение быстродействия версией N2, а второй предложит приписываемые 1,6-нм технологиям характеристики в сочетании с подводом питания с оборотной стороны кремниевой пластины. N2P может предложить либо сниженное на 5–10 % энергопотребление при неизменном быстродействии, либо возросшую пропорционально производительность при неизменном энергопотреблении по сравнению с базовым N2.

Техпроцесс A16 готов предложить снижение энергопотребления на 20 % относительно N2P, либо возросшее на 10 % быстродействие при тех же уровнях энергопотребления. Плотность размещения транзисторов A16 позволит увеличить на 10 % относительно N2P. В чипах, ориентированных на высокую производительность, техпроцесс A16 раскроет себя с лучшей стороны, но подвод питания с оборотной стороны кремниевой пластины сделает его достаточно дорогим в производстве.

SMIC удалось догнать UMC на рынке услуг по контрактному производству чипов и занять третье место

О том, что китайская SMIC делает определённые успехи в увеличении своей доли мирового рынка услуг по контрактному производству чипов, уже сообщают самые разные источники. Экспертам Counterpoint Research удалось установить, что на третье место с 6 % рынка SMIC вышла впервые только в прошлом квартале.

 Источник изображения: Nvidia

Источник изображения: Nvidia

По данным источника, концентрирующаяся на обслуживании китайских клиентов SMIC смогла добиться такого прогресса за счёт восстановления спроса на многие типы полупроводниковых компонентов на внутреннем рынке КНР. Выручка SMIC продолжит увеличиваться и во втором квартале, а по итогам всего года её прирост может достичь 14–16 % против изначально заложенных в прогноз 4–6 %. Тем самым SMIC может увеличить отрыв по доле рынка в показателях выручки от UMC, которую едва потеснила с третьего места.

Для рынка контрактных услуг в целом, как отмечается в отчёте Counterpoint Research, первый квартал этого года характеризовался снижением совокупной выручки участников на 5 % в последовательном сравнении. Спрос на конечных рынках восстанавливается медленнее, чем хотелось бы производителям чипов. Бум на рынке систем искусственного интеллекта приведёт к тому, что мощности по упаковке чипов с использованием технологии CoWoS к концу текущего года увеличатся более чем вдвое, но предложение в сегменте всё равно будет отставать от потребностей рынка. Обычные серверные системы, смартфоны, ПК, автомобили и сектор промышленной автоматизации — все эти направления будут восстанавливаться медленнее ожидаемого. Именно эта тенденция усугубила последовательное снижение выручки контрактных производителей в первом квартале, усилив сезонные явления.

 Источник изображения: Counterpoint Research

Источник изображения: Counterpoint Research

В годовом сравнении, тем не менее, выручка контрактных производителей чипов по итогам первого квартала выросла на 12 %. Слабость спроса за пределами сегмента ИИ вынудила руководство TSMC пересмотреть свой прогноз по росту выручки в сегменте логических компонентов по итогам всего 2024 года с «более чем 10 %» до просто 10 %. Зато в сегменте ИИ выручка компании увеличится более чем в два раза. До 2028 года такая выручка TSMC будет ежегодно расти в среднем на 50 %. Сейчас высокий уровень загрузки линий TSMC по выпуску 5-нм продукции поддерживается как раз за счёт высокого спроса на ускорители вычислений для систем ИИ. По итогам первого квартала компания заняла 62 % мирового рынка контрактных услуг по выпуску чипов в показателях выручки.

Samsung Electronics, которая выпускает чипы и для сторонних заказчиков, по итогам первого квартала смогла удержать долю в 13 % мирового рынка, но её выручка сократилась из-за сезонных тенденций на рынке смартфонов. Во втором квартале, как считают аналитики Counterpoint Research, выручка Samsung вырастет на двузначную величину в процентах. Корейский контрактный производитель занимает второе место после TSMC, но судить о его выручке в этой сфере можно только по косвенным данным.

UMC и GlobalFoundries, которые довольствуются 6 и 5 % рынка соответственно, рассчитывают на возвращение роста в сегменте смартфонов в ближайшие месяцы. В автомобильном сегменте выручка во втором квартале начнёт расти у GlobalFoundries, а вот UMC будет сложнее наблюдать аналогичный эффект. В большинстве сегментов рынка ситуация со складскими запасами приблизилась к нормальной, поэтому заказчики их начнут восполнять в текущем году, формируя более благоприятные условия для работы контрактных производителей чипов.

Для Intel ставка на оборудование High-NA EUV может обернуться большими убытками

В этом месяце стало известно, что Intel могла выкупить все литографические сканеры ASML новейшего поколения (High-NA EUV), которые последняя намерена выпустить в текущем году. TSMC при этом настаивает, что при освоении технологии A16 сможет обойтись без такого дорогостоящего оборудования. Эксперты считают, что Intel может столкнуться с убытками, если такая стратегия окажется ошибочной.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что генеральный директор Intel Патрик Гелсингер (Patrick Gelsinger), который является идейным вдохновителем скорейшего перехода на использование сканеров класса High-NA EUV, как раз считает их применение залогом снижения себестоимости продукции в диапазоне техпроцессов тоньше 2 нм до уровня, недостижимого для конкурентов. Проблема заключается в том, что каждый литографический сканер ASML с высоким значением числовой апертуры (High-NA) стоит около $380 млн против почти вдвое меньшей суммы в случае с обычным EUV-сканером. Даже если Intel будет внедрять технологию High-NA EUV поэтапно, слой за слоем, ей понадобится внушительное количество весьма дорогого оборудования. По мнению опрошенных TrendForce аналитиков ITRI, это создаёт для компании риски получения серьёзных убытков.

По их словам, осторожное отношение TSMC к вопросу внедрения High-NA EUV само по себе должно быть предостережением для прочих участников рынка услуг по контрактному производству передовых чипов. Являясь лидером рынка с огромной клиентской базой, TSMC явно поняла, что торопиться с внедрением нового класса оборудования не надо, поскольку получаемые за его счёт технологические преимущества пока не могут оправдать высоких затрат на приобретение этих литографических сканеров. Несомненно, когда в этом возникнет бесспорная потребность, TSMC тоже перейдёт на использование литографических сканеров ASML с высоким значением числовой апертуры, но пока тайваньский производитель предпочитает воздержаться от такого шага.

По мнению экспертов ITRI, компания Intel сейчас недооценивает важность технологий компоновки и упаковки чипов, и делает не совсем взвешенную ставку на литографию как таковую. Тем не менее, решение руководством Intel уже принято, и остаётся только наблюдать, как подобная стратегия скажется на бизнесе процессорного гиганта, который сейчас остро нуждается как в серьёзных реформах, так и в существенных капиталовложениях.

Операционный директор Apple провёл тайные переговоры с TSMC по поводу выпуска ИИ-чипов

Решение Apple начать использование процессоров M2 Ultra собственной разработки в составе своей серверной инфраструктуры, о котором стало известно недавно, может оказаться лишь первым шагом на пути создания специализированных чипов для ускорения искусственного интеллекта. По слухам, представитель руководства Apple недавно посетил Тайвань для проведения переговоров с TSMC о перспективе выпуска ИИ-чипов.

 Источник изображения: TSMC

Источник изображения: TSMC

Как отмечает издание Economic Daily News, во время своей поездки на Тайвань операционный директор Джефф Уильямс (Jeff Williams) встретился с генеральным директором TSMC Си-Си Вэем (C.C. Wei). Встреча не предавалась широкой огласке и была, как считается, посвящена обсуждению возможности TSMC наладить контрактный выпуск чипов Apple собственной разработки для серверных систем искусственного интеллекта. Руководители также обсуждали возможности TSMC в сфере упаковки и тестирования чипов со сложной пространственной компоновкой. Последние, как известно, в последние месяцы почти полностью направлены на удовлетворение спроса Nvidia на чипы для ускорителей вычислений.

Перспективные чипы серверного назначения Apple будет использовать в собственной вычислительной инфраструктуре, но из-за высокой себестоимости не станет продвигать в сегмент потребительских устройств. По сути, на открытом рынке они так и не появятся. Apple остаётся одним из крупнейших клиентов TSMC, она традиционно получает доступ к новым техпроцессам этого подрядчика первой. В текущем году выручка TSMC от оказания услуг Apple приблизится к рекордным $19 млрд, как считают некоторые эксперты.

ASML и TSMC придумали, как удалённо испортить EUV-оборудование в случае вторжения Китая

На Тайване производится более 90 % мирового объёма чипов с использованием передовой литографии. Компания ASML поставила своим клиентам с 2016 года более 200 сканеров для работы с EUV-литографией, многие из них сейчас эксплуатируются на Тайване компанией TSMC. Сообщается, что у компаний ASML и TSMC есть способы удалённо вывести из строя самые сложные в мире машины для производства микросхем в случае вторжения Китая на Тайвань.

 Источник изображения: ASML

Источник изображения: ASML

Как отмечает Bloomberg, не предаваемые особой огласке консультации американских чиновников с представителями Нидерландов и Тайваня касались обсуждения возможных последствий захвата острова китайскими военными. Представители ASML успокоили американских партнёров, заявив, что могут дистанционно вывести из строя литографическое оборудование класса EUV, эксплуатируемое на Тайване. Это было подтверждено тематическими испытаниями, которые ASML проводила, имитируя в ходе учений действия компании в случае захвата Тайваня. Также возможность удалённо вывести из строя передовое оборудование имеется у эксплуатирующей его TSMC.

По всей видимости, ASML сохраняет доступ к своему экспортируемому оборудованию по глобальным каналам связи, поскольку он позволяет ей удалённо диагностировать возникающие технические неполадки и проводить настройку уже после того, как оборудование установлено на предприятии клиента. Соответственно, в случае серьёзной опасности ASML имеет возможность дистанционно нарушить работу программного обеспечения, управляющего оборудованием, сделав его непригодным для использования посторонними. Данная информация не была подтверждена представителями официальных структур США и Нидерландов, либо сотрудниками компаний ASML и TSMC, как отмечает Bloomberg.

Готовность прибегнуть к осознанному саботажу в прошлом году подтвердил и председатель совета директоров TSMC Марк Лю (Mark Liu). По его словам, если оккупанты доберутся до предприятий этой компании, они застанут их в неработоспособном состоянии. Как отметил глава правления TSMC, никто не может овладеть компанией силой.

TSMC будет выпускать основания для стеков HBM4 по 12- и 5-нм техпроцессам

Компания TSMC на конференции European Technology Symposium 2024 поделилась свежими деталями о ходе разработки чипов-оснований для высокопроизводительной памяти HBM4. Одной из ключевых особенностей новой технологии памяти станет переход с 1024-битного на 2048-битный интерфейс. Однако реализация этого потребует применения более передовых технологий упаковки, по сравнению с теми, что используются сейчас для производства и интеграции памяти HBM.

 Источник изображения: TSMC

Источник изображения: TSMC

В рамках своей презентации TSMC поделилась свежими деталями о чипах, которые лягут в основание стеков памяти HBM4. Компания собирается использовать для выпуска базовых чипов сразу два своих техпроцесса — N12FFC+ (12 нм) и N5 (5 нм). Каждый обладает своими достоинствами, но оба будут служить одной цели — интеграции высокоскоростной памяти HBM четвёртого поколения в состав будущих ИИ- и HPC-процессоров.

«Мы сотрудничаем с ключевыми партнёрами в разработке HBM-памяти (Micron, Samsung, SK Hynix) над передовыми узлами для полной интеграции стека HBM4. Базовый кристалл на основе экономичного техпроцесса N12FFC+ обеспечит прирост производительности HBM4, а базовый кристалл на основе N5 позволит размещать больше логических компонентов на чипе и снизить энергопотребление памяти», — отмечает компания.

Базовый кристалл памяти HBM4, изготовленный по технологии TSMC N12FFC+ (12-нм FinFet Compact Plus), будет использоваться для установки стеков памяти HBM4 на кремниевый переходник рядом с SoC. В TSMC отмечают, что N12FFC+ позволяет создавать стеки памяти в конфигурациях 12-Hi (двенадцать ярусов, 48 Гбайт) и 16-Hi (шестнадцать ярусов, 64 Гбайт) с пропускной способностью каждого стека более 2 Тбайт/с.

Базовые кристаллы HBM4 на техпроцессе N12FFC+ будут применяться для производства «систем в корпусе» (system-in-package, SiP) с использованием передовых технологий упаковки TSMC CoWoS-L или CoWoS-R. По данным TSMC, в настоящее время HBM4 может достигать скорости передачи данных до 6 ГТ/с при токе 14 мА.

«Мы также оптимизируем свои технологии упаковки CoWoS-L и CoWoS-R для HBM4. Они подразумевают использование более восьми уровней интерконнекта, чтобы обеспечить маршрутизацию более чем 2000 соединений в составе HBM4 с должной целостностью сигнала», — сообщила TSMC

Компания TSMC сотрудничает с EDA-партнёрами Cadence, Synopsys и Ansys над вопросами обеспечения целостности передачи сигналов, тепловой точности и снижения электромагнитных помех (EMI) в новых базовых кристаллах HBM4.

В качестве более продвинутой альтернативы TSMC сможет предложить для своих клиентов техпроцесс N5 для производства оснований для стеков памяти HBM4. Этот узел позволит размещать в составе стека HBM4 ещё больше логических компонентов, снизить энергопотребление памяти и ещё сильнее повысить её производительность. Но, возможно, самым важным преимуществом N5 является то, что он позволяет добиться очень малого шага межсоединений в составе HBM4, порядка 6–9 микрон. В свою очередь это позволит интегрировать кристаллы памяти HBM4 прямо поверх логических микросхем. Это должно увеличить производительность и пропускную способность памяти, что окажется полезным при производстве ИИ-чипов.

За пять лет TSMC увеличит объёмы выпуска продукции по зрелым техпроцессам на 50 %

Предсказуемо больше говоря о своих планах по освоению передовой литографии, компания TSMC традиционно старалась не менее 10 % своих капитальных затрат направлять на развитие производства специализированных чипов, которые характеризуются сочетанием низкого энергопотребления и умеренной себестоимости. К 2028 году компания рассчитывает увеличить объёмы выпуска такой продукции на 50 %.

 Источник изображения: TSMC

Источник изображения: TSMC

Обычно, как поясняет AnandTech после изучения материалов Европейского технологического симпозиума TSMC, для выпуска подобных изделий компания использовала зрелые техпроцессы, которые осваивались на предприятиях, ранее выпускавших продвинутую для своего периода продукцию, но по мере переноса прогрессивных технологий на новые площадки более старые сосредотачивались на выпуске специализированных чипов.

В ближайшие пять лет, как пояснил старший вице-президент TSMC Кевин Чжан (Kevin Zhang), компания собирается увеличить объёмы выпуска специализированных чипов в полтора раза. Что характерно, впервые за долгое время для этого будут построены предприятия, которые изначально ориентированы под выпуск такой продукции. Впрочем, среди них появятся и те, которые будут использовать достаточно современный техпроцесс N4e, изначально разработанный для данной сферы применения с учётом низкого энергопотребления производимых чипов.

Сейчас среди аналогичных техпроцессов TSMC самым продвинутым является N6e, который позволяет чипам работать при диапазоне напряжений от 0,4 до 0,9 В. После освоения техпроцесса N4e компания рассчитывает опустить напряжения ниже отметки 0,4 В. О сроках внедрения такого техпроцесса чёткой информации нет, но надо понимать, что освоен он будет до 2028 года. Скорее всего, подробности будут раскрыты в следующем году. Как уже не раз отмечали представители TSMC, их не очень беспокоит активная экспансия производства чипов с использованием зрелых техпроцессов китайскими конкурентами. TSMC основную часть своих специализированных чипов поставляет клиентам, с которыми связана долгосрочными контрактами, а потому отток заказчиков к китайским соперникам не столь вероятен.

TSMC запустит массовое производство по оптимизированному 3-нм техпроцессу N3P уже в этом году

На традиционном весеннем технологическом симпозиуме TSMC представила обновлённую информацию о состоянии своих текущих и будущих 3-нм техпроцессов. Технология N3E применяется в серийном производстве с четвёртого квартала 2023 года, в этом году будет запущено массовое производство по техпроцессу N3P, который сохранит преемственность по технологической оснастке и средствам проектирования. Кроме того, N3P призван обеспечить снижение уровня брака при производстве чипов.

 Источник изображения: TSMC

Источник изображения: TSMC

TSMC сообщает о высоком уровне выхода годной продукции у 3-нм технологического процесса второго поколения N3E. По данным компании, плотность дефектов D0 в N3E находится на одном уровне с 5-нм техпроцессом N5. Это немалое достижение, учитывая дополнительные сложности, связанные с разработкой последнего, ещё более совершенного поколения технологии FinFET. Передовые клиенты TSMC, такие как Apple, только что выпустившая процессор M4, смогут относительно быстро воспользоваться преимуществами улучшенного технологического узла.

Техпроцесс N3E представляет собой упрощённую версию N3B, в которой исключены некоторые уровни EUV и не используется двойное экспонирование. Это снижает себестоимость производства и увеличивает производительность, хотя за это приходится платить некоторым снижением плотности транзисторов. В отличие от оригинального N3B, чей производственный цикл будет относительно коротким, поскольку единственным его крупным заказчиком выступила Apple, N3E будет востребован широким кругом клиентов TSMC, в том числе многими крупнейшими разработчиками чипов.

На сегодняшний день N3P завершил весь квалификационный цикл испытаний, по данным компании его показатели выхода годной продукции будут близки к N3E. Благодаря применению оптической усадки, техпроцесс N3P позволяет разработчикам процессоров либо увеличивать производительность на 4 % при тех же токах утечки, либо снижать энергопотребление на 9 % при тех же тактовых частотах. N3P также призван увеличить плотность транзисторов на 4 % для «смешанной» конструкции чипа, к которой TSMC относит процессоры, состоящие на 50 % из логических схем, на 30 % из SRAM и на 20 % из аналоговых схем.

Поскольку N3P является дальнейшим развитием N3E, он совместим со своим предшественником с точки зрения IP-блоков, правил процессов, инструментов разработки и методологии электронного проектирования (EDA). TSMC ожидает, что к концу года на большей части производства будет использоваться N3P, так как он обеспечивает более высокую производительность при меньших затратах.

На стройке TSMC в Аризоне взорвалась цистерна, но на ход работ это не повлияет

По условиям договорённости тайваньской TSMC с властями США, эта компания должна построить в штате Аризона к 2028 году три предприятия по контрактному производству чипов, и самое позднее из них должно обеспечить выпуск 2-нм продукции. Накануне на стройплощадке произошёл взрыв цистерны, который унёс жизнь водителя. Вместе с тем компания заявила, что на темпы строительства предприятия это не повлияет.

 Источник изображения: TSMC

Источник изображения: TSMC

Подробности об инциденте появились на страницах сайта Bloomberg с подачи представителей профсоюза, которые получили всю информацию о произошедшем в минувшую среду на строительной площадке несчастном случае. Водитель автоцистерны с химическими отходами, удалившись от строительной площадки на некоторое расстояние, заподозрил развитие аномальной ситуации. Он остановил машину и вышел из кабины для осмотра цистерны, и в этот момент неконтролируемый сброс давления, как отмечается в отчёте полиции, сбил водителя с ног неким тупым предметом и отбросил его на расстояние около шести метров. Пострадавший был доставлен в больницу, где скончался от полученных травм.

Компания TSMC от развёрнутых комментариев по этому инциденту отказалась, как отмечает Reuters, но подчеркнула, что на строительную деятельность в Аризоне он никак не повлиял. Строительные конструкции повреждены не были, прочие работники площадки никак не пострадали, а рабочие процессы никак не были нарушены в результате происшествия. Сотрудники TSMC, привлечённые к настройке и монтажу оборудования на строящихся предприятиях в Аризоне, тоже не пострадали. Напомним, что при возведении предприятий в этом штате TSMC столкнулась с дефицитом рабочей силы, поэтому реализация проекта идёт с некоторым отставанием от первоначального графика.

TSMC обещает приступить к строительству предприятия по производству чипов в Германии в четвёртом квартале

Крупнейший контрактный производитель чипов TSMC объявил о планах запустить в четвёртом квартале 2024 года строительство своего первого завода по производству полупроводниковых компонентов в Европе. Предприятие стоимостью 11 млрд долларов будет расположено в немецком городе Дрезден и начнёт производство чипов в 2027 году.

 Источник изображения: TSMC

Источник изображения: TSMC

По сообщению Reuters, объект получил название European Semiconductor Manufacturing Co (ESMC). Как заявил на конференции в Нидерландах Пол де Бот (Paul de Bot), глава европейского подразделения TSMC, работы начнутся по графику. Инвестиции в проект составят 11 миллиардов долларов, и в финансировании также примут участие местные технологические компании — Infineon, NXP и Robert Bosch, которые вложат по 10 % от общей суммы инвестирования и получат пропорциональные доли в будущем совместном предприятии.

По словам старшего вице-президента Кевина Чжана (Kevin Zhang), курирующего международные отношения TSMC, компания уверена в получении государственных субсидий на строительство в рамках принятого в ЕС закона о стимулировании производства чипов. Хотя официального решения ещё нет, проект пользуется сильной поддержкой властей Германии и Евросоюза. Так что в выделении необходимых льгот можно не сомневаться.

На предприятии ESMC будут выпускаться чипы по 22-нм техпроцессу. Эту технологию TSMC впервые представила ещё в середине 2010-х годов. «Завод позволит внедрить самую передовую технологию в сердце автомобильной промышленности», — сказал Чжан, имея в виду блоки микроконтроллеров, которые используются в автомобилях для управления тормозами, датчиками, окнами, стеклоочистителями и прочим.

Чжан не исключил, что в будущем TSMC может увеличить масштабы своего присутствия в Европе. В частности, построить дополнительные заводы, способные производить ещё более совершенные чипы по передовым техпроцессам. По его словам, примерно так же развивалось присутствие компании в Японии, когда в 2021 году там началось строительство первого завода TSMC, а в этом году компания объявила о планах строительства второго, более современного японского предприятия. По соседству не исключается и появление третьего — по крайней мере, японские власти на этом настаивают.

TSMC настаивает, что сможет освоить технологию A16 без оборудования для High-NA EUV

В конце апреля старший вице-президент TSMC Кевин Чжан (Kevin Zhang) уже признавался, что не считает целесообразным внедрять литографическое оборудование ASML с высоким значением числовой апертуры (High-NA) при производстве чипов по технологии A16, которая будет освоена во второй половине 2026 года. На этой неделе он повторил данный тезис, назвав соответствующее оборудование слишком дорогим.

 Источник изображения: ASML

Источник изображения: ASML

«Мне нравятся возможности High-NA EUV, но не нравится ценник», — пояснил Кевин Чжан на технологическом симпозиуме в Нидерландах. Оборудование ASML нового поколения, о котором идёт речь, способно изготовить полупроводниковые элементы толщиной всего 8 нм, что в 1,7 раза меньше, чем получается при использовании литографических сканеров предыдущего поколения, но стоимость одной такой системы достигает $380 млн против примерно $216 млн у обычной.

Напомним, что ASML сейчас тестирует один из таких литографических сканеров для работы с High-NA EUV, второй установлен в исследовательском центре Intel в штате Орегон, а третий должна получить бельгийская Imec, которая является одним из партнёров японского консорциума Rapidus, рассчитывающего к 2027 году начать выпуск 2-нм продукции на территории Японии. Корпорация Intel будет экспериментировать с таким оборудованием в рамках технологии 18A, но в серийном производстве внедрит не ранее 2027 года, когда начнёт осваивать технологию Intel 14A. По слухам, Intel даже выкупила весь тираж литографических сканеров ASML нового поколения на этот год, чтобы обеспечить себя необходимым оборудованием.

Как уже отмечал ранее представитель TSMC, этот крупнейший тайваньский контрактный производитель чипов рассчитывает обойтись возможностями имеющегося оборудования. Кевин Чжан пояснил, что предприятия TSMC, на которых будет использоваться техпроцесс A16, могут быть приспособлены для дальнейшей установки оборудования с High-NA EUV, но когда оно потребуется в действительности, компания сказать не может. Сроки внедрения данной технологии на предприятиях TSMC будут определяться балансом технических характеристик выпускаемых чипов и экономическими факторами. Вся отрасль, по его словам, сталкивается с ростом затрат на строительство, оснащение и эксплуатацию предприятий по выпуску чипов.

SMIC вышла на второе место среди мировых контрактных производителей чипов, несмотря на санкции США

Тайваньская компания TSMC контролирует более половины мирового рынка услуг по контрактному производству чипов, её сильными соперниками исторически считались Samsung и UMC, но итоги первого квартала позволили китайской SMIC выйти на второе место в мире среди «чистокровных» контрактных производителей чипов. Intel и Samsung в этот рейтинг попасть не имеют права, поскольку являются вертикально интегрированными производителями чипов.

 Источник изображения: SMIC

Источник изображения: SMIC

С неожиданной стороны на квартальную отчётность китайской SMIC решили посмотреть представители сайта Tom’s Hardware. Они утверждают, что эта компания со своей выручкой за первый квартал текущего года в размере $1,75 млрд вполне заслуживает права считаться вторым по величине выручки в мире «чистокровным» контрактным производителем после тайваньской TSMC. Правда, последняя в первом квартале выручила $18,87 млрд, поэтому разрыв между первым и вторым местом более чем десятикратный.

К слову, если всё-таки вернуть в этот рейтинг контрактные подразделения Intel и Samsung, то выяснится, что первое выручило по итогам квартала $4,4 млрд, а второе ограничилось $3,38 млрд. В таком варианте сравнения второе место среди контрактных производителей достаётся Intel, хотя эта компания основную часть выручки на данном направлении буквально передаёт «сама себе». Если учесть, что контрактное подразделение Intel в первом квартале сработало с $2,5 млрд чистых убытков, выгодным подобный бизнес для материнской корпорации пока признать невозможно. Выручку контрактного подразделения Samsung можно определить лишь по косвенным данным — в целях данного сравнения предполагалось, что в первом квартале текущего года она не превысила $3,38 млрд, и основная часть этих средств получена от других подразделений Samsung Electronics.

Среди «чистокровных» контрактных производителей SMIC ранее не столь успешно конкурировала с UMC, но теперь обошла её по выручке, поскольку этот представитель тайваньской полупроводниковой отрасли в первом квартале получил не более $1,71 млрд. GlobalFoundries и вовсе сократила выручку на 16 % по итогам первого квартала до $1,549 млрд.

SMIC удалось поднять выручку по итогам первого квартала в годовом сравнении на 19,7 % до $1,75 млрд, но выросшие чуть ли не в два раза до $2,235 млрд капитальные затраты таят серьёзную угрозу для прибыльности бизнеса компании. Выпуск чипов по передовой для SMIC 7-нм технологии дорого ей даётся, а потому даже рост выручки на этом основании не всегда способен обеспечить адекватную финансовую отдачу. Тем более, что конкуренты в Китае стараются сбивать цены на свои услуги, пытаясь переманить часть стратегически важных для SMIC заказов на десятки миллионов долларов США в квартал.


window-new
Soft
Hard
Тренды 🔥
Новая статья: Gamesblender № 676: God of War: Ragnarok на ПК, осенний ремейк Silent Hill 2 и новости Warhammer 19 мин.
Европол отключил 2000 вредоносных доменов киберпреступников 3 ч.
Число владельцев криптовалют в мире достигло 562 млн — крипта есть у каждого тринадцатого 4 ч.
Лидеры ведущих ИИ-компаний прибыли на секретное заседание Бильдербергского клуба 6 ч.
Владелец Ticketmaster подтвердил утечку данных 560 млн пользователей спустя 11 дней 10 ч.
В Telegram добавили сообщения с анимированными эффектами, глобальные хештеги и другие нововведения 11 ч.
Anthropic позволит создавать персональных помощников на базе ИИ-чат-бота Claude 13 ч.
ElevenLabs запустила ИИ-генератор звуковых эффектов по текстовому описанию 13 ч.
Новая статья: Songs of Conquest — песнь величия. Рецензия 24 ч.
В ранний доступ Steam ворвался олдскульный шутер Selaco на движке классических Doom — с перестрелками и умными врагами в духе F.E.A.R. 31-05 21:58
Boeing отменила пилотируемый полёт космического корабля Starliner к МКС за несколько минут до старта 2 ч.
Привет из 2014-го: Asus выпустила обновлённую GeForce GT 710 EVO с 2 Гбайт GDDR5 3 ч.
Apple выбрала процессоры М2 Ultra и М4 для серверов, на которых будут работать ИИ-функции iPhone 6 ч.
Выставка Computex 2024 откроется 4 июня, но презентации AMD, Intel и Nvidia пройдут раньше 7 ч.
iPhone 5s официально устарел, а iPod touch 6 стал винтажным 7 ч.
Vivo оккупировала значительную часть майского рейтинга производительности AnTuTu 8 ч.
Игровой монитор Xiaomi G Pro 27i на панели Mini LED с 1152 зонами затенения выйдет на мировой рынок 8 ч.
Starlink хочет открыть для пользователей спутниковую сотовую связь уже осенью 10 ч.
Новые спутники Starlink могут уничтожить радиоастрономию на Земле, предупреждают учёные 12 ч.
Корейский профсоюз Samsung объявил забастовку, но на производство и поставки памяти это не повлияет 13 ч.