Сегодня 24 мая 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → производство
Быстрый переход

SK hynix удалось свести брак при выпуске HBM3E до скромных 20 %

Производство микросхем HBM требует большого количества кремниевых пластин не только по причине большой общей площади кристаллов, но и из-за достаточно высокого уровня брака. Если исторически уровень выхода годных кристаллов при выпуске HBM не превышал 40–60 %, то SK hynix удалось поднять показатель до 80 %.

 Источник изображения: SK hynix

Источник изображения: SK hynix

Об этом в интервью Financial Times заявил директор по управлению качеством SK hynix Квон Чжэ Сун (Kwon Jae-soon). Одновременно компании удалось сократить длительность производственного цикла HBM3E на 50 %. До сих пор считалось, что уровень выхода годной продукции HBM3E при производстве SK hynix не превышал 60–70 %, но и это считалось весьма приличным показателем. Заявляемый же уровень в 80 % превосходит эти ожидания и демонстрирует успех SK hynix в оптимизации своих производственных процессов.

В 2025 году SK hynix рассчитывает освоить массовое производство памяти HBM4 в 12-ярусном исполнении, её партнёром в этой сфере выступает тайваньская TSMC. Конкурирующая Samsung рассчитывает освоить выпуск памяти этого поколения только в 2026 году. К тому времени SK hynix уже собирается наладить выпуск 16-ярусных стеков HBM4.

Упоминая о планах Samsung наладить поставки 12-ярусных стеков HBM3E в текущем полугодии, представитель SK hynix отметил, что клиентами компании сейчас наиболее востребованы именно 8-ярусные стеки HBM3E, поэтому на их производстве компания и сосредоточена в настоящее время. Сама SK hynix собирается начать поставки 12-ярусных стеков HBM3E в третьем квартале.

Вплоть до 1,6 нм: за два следующих года TSMC освоит четыре новых техпроцесса

На прошлой неделе представители TSMC заявили, что до конца текущего года компания начнёт серийное производство чипов по технологии N3P, и это подтолкнуло сотрудников ресурса AnandTech обобщить всю доступную о ближайших планах компании информацию в одной таблице. В 2025 и 2026 годах TSMC намерена внедрить четыре новых техпроцесса.

 Источник изображения: TSMC

Источник изображения: TSMC

Непосредственно на следующий год запланировано освоение техпроцессов N3X и N2, причём данные события будут сосредоточены во второй половине 2025 года, если всё пойдёт по графику. В какой-то мере техпроцессы N3X и N2 будут конкурировать друг с другом за предпочтения клиентов TSMC. Первый должен снизить уровень энергопотребления на 7 % относительно N3P, который будет освоен во второй половине текущего года. Скорость переключения транзисторов вырастет на 5 % при напряжении 1,2 В при прежней плотности размещения транзисторов, а последний показатель увеличится в 1,1 раза при неизменной тактовой частоте.

 Источник изображения: AnandTech

Источник изображения: AnandTech

Техпроцесс N2 обещает снизить энергопотребление на 25–30 % относительно N3E, который освоен с четвёртого квартала прошлого года. При этом скорость переключения транзисторов вырастет на 10–15 %, а плотность их размещения вырастет в 1,15 раза. Такой же прирост по плотности обеспечит относительно N3E техпроцесс N2P, который будет освоен во второй половине 2026 года, а вот выигрыш по энергопотреблению увеличится до 30–40 %, тогда как скорость переключения транзисторов вырастет на 15–20 %. Другими словами, прямое сравнение N2 и N2P обеспечит не такую уж заметную выгоду по энергопотреблению (5–10 %) и быстродействию (5–10 %), а плотность размещения транзисторов и вовсе останется неизменной.

В рамках техпроцесса N2 компания TSMC впервые внедрит структуру транзисторов с нанолистами и окружающим затвором (GAA). Это должно значительно улучшить производительность, снизить энергопотребление и увеличить плотность размещения транзисторов. Конкурирующий техпроцесс N3X может превзойти N2 по быстродействию, особенно на более высоких напряжениях. Кому из клиентов TSMC технология N3X может больше понравиться в виду отсутствия изменений в структуре транзисторов (FinFET), что должно благоприятно сказаться на уровне брака.

На 2026 год у TSMC запланировано освоение техпроцессов N2P и A16. Последний будет ориентированной на повышение быстродействия версией N2, а второй предложит приписываемые 1,6-нм технологиям характеристики в сочетании с подводом питания с оборотной стороны кремниевой пластины. N2P может предложить либо сниженное на 5–10 % энергопотребление при неизменном быстродействии, либо возросшую пропорционально производительность при неизменном энергопотреблении по сравнению с базовым N2.

Техпроцесс A16 готов предложить снижение энергопотребления на 20 % относительно N2P, либо возросшее на 10 % быстродействие при тех же уровнях энергопотребления. Плотность размещения транзисторов A16 позволит увеличить на 10 % относительно N2P. В чипах, ориентированных на высокую производительность, техпроцесс A16 раскроет себя с лучшей стороны, но подвод питания с оборотной стороны кремниевой пластины сделает его достаточно дорогим в производстве.

ASML в ближайшие годы сосредоточится на повышении производительности своего оборудования для выпуска чипов

Бывший технический директор ASML Мартин ван ден Бринк (Martin van den Brink) пояснил, что к следующему десятилетию компания не только собирается представить оборудование со сверхвысоким значением числовой апертуры 0,75, но и увеличить производительность литографических сканеров поколений EUV и DUV. За счёт этого себестоимость продукции удаётся удержать в разумных рамках.

 Источник изображения: ASML

Источник изображения: ASML

Соответствующие заявления, по данным ресурса Bits&Chips, представитель ASML сделал на проходившем в Антверпене форуме ITF World, организованном бельгийской исследовательской компанией Imec. Если нынешние сканеры для работы с DUV и EUV литографией способны обрабатывать по 200 или 300 кремниевых пластин, то в будущем этот показатель предстоит поднять до 400–500 кремниевых пластин в час. Ван ден Бринк эту меру назвал «главным оружием против роста затрат».

ASML также хотела бы создать унифицированную платформу для оборудования для работы со сверхжёстким ультрафиолетовым излучением (EUV), к которой относились бы и перспективные сканеры Hyper-NA со сверхвысоким значением числовой апертуры (0,75). Унифицированная платформа позволила бы переносить часть инноваций с оборудования класса Hyper-NA на более зрелое, улучшая его характеристики. Одновременно такая унификация способствовала бы более быстрой окупаемости инвестиций в создание оборудования класса Hyper-NA.

Лет через десять ASML хотела бы создать единую платформу для работы с низкой (0,33), высокой (0,55) и сверхвысокой (0,75) числовой апертурой. Впрочем, пока сроки начала работы над созданием оборудования Hyper-NA не определены, как отметили представители ASML. Внедрение оптики со сверхвысокой числовой апертурой сократит потребность в двойной экспозиции, не только ускоряя выпуск чипов, но и снижая энергозатраты. Кроме того, отказ от двойной экспозиции на определённых этапах техпроцесса должен способствовать снижению уровня брака при производстве чипов.

Напомним, что Intel собирается активно внедрять уже доступное оборудование с высоким значением числовой апертуры (High-NA) при серийном производстве чипов по технологии 14A, а вот крупнейший контрактный производитель TSMC в рамках будущего техпроцесса A16 от подобных мер собирается воздержаться. Технологически оборудование такого класса очень нравится руководству TSMC, а вот его стоимость пока отпугивает. Вероятно, подобные колебания будут до определённого момента происходить и при экспансии оборудования класса Hyper-NA, если оно появится.

SMIC удалось догнать UMC на рынке услуг по контрактному производству чипов и занять третье место

О том, что китайская SMIC делает определённые успехи в увеличении своей доли мирового рынка услуг по контрактному производству чипов, уже сообщают самые разные источники. Экспертам Counterpoint Research удалось установить, что на третье место с 6 % рынка SMIC вышла впервые только в прошлом квартале.

 Источник изображения: Nvidia

Источник изображения: Nvidia

По данным источника, концентрирующаяся на обслуживании китайских клиентов SMIC смогла добиться такого прогресса за счёт восстановления спроса на многие типы полупроводниковых компонентов на внутреннем рынке КНР. Выручка SMIC продолжит увеличиваться и во втором квартале, а по итогам всего года её прирост может достичь 14–16 % против изначально заложенных в прогноз 4–6 %. Тем самым SMIC может увеличить отрыв по доле рынка в показателях выручки от UMC, которую едва потеснила с третьего места.

Для рынка контрактных услуг в целом, как отмечается в отчёте Counterpoint Research, первый квартал этого года характеризовался снижением совокупной выручки участников на 5 % в последовательном сравнении. Спрос на конечных рынках восстанавливается медленнее, чем хотелось бы производителям чипов. Бум на рынке систем искусственного интеллекта приведёт к тому, что мощности по упаковке чипов с использованием технологии CoWoS к концу текущего года увеличатся более чем вдвое, но предложение в сегменте всё равно будет отставать от потребностей рынка. Обычные серверные системы, смартфоны, ПК, автомобили и сектор промышленной автоматизации — все эти направления будут восстанавливаться медленнее ожидаемого. Именно эта тенденция усугубила последовательное снижение выручки контрактных производителей в первом квартале, усилив сезонные явления.

 Источник изображения: Counterpoint Research

Источник изображения: Counterpoint Research

В годовом сравнении, тем не менее, выручка контрактных производителей чипов по итогам первого квартала выросла на 12 %. Слабость спроса за пределами сегмента ИИ вынудила руководство TSMC пересмотреть свой прогноз по росту выручки в сегменте логических компонентов по итогам всего 2024 года с «более чем 10 %» до просто 10 %. Зато в сегменте ИИ выручка компании увеличится более чем в два раза. До 2028 года такая выручка TSMC будет ежегодно расти в среднем на 50 %. Сейчас высокий уровень загрузки линий TSMC по выпуску 5-нм продукции поддерживается как раз за счёт высокого спроса на ускорители вычислений для систем ИИ. По итогам первого квартала компания заняла 62 % мирового рынка контрактных услуг по выпуску чипов в показателях выручки.

Samsung Electronics, которая выпускает чипы и для сторонних заказчиков, по итогам первого квартала смогла удержать долю в 13 % мирового рынка, но её выручка сократилась из-за сезонных тенденций на рынке смартфонов. Во втором квартале, как считают аналитики Counterpoint Research, выручка Samsung вырастет на двузначную величину в процентах. Корейский контрактный производитель занимает второе место после TSMC, но судить о его выручке в этой сфере можно только по косвенным данным.

UMC и GlobalFoundries, которые довольствуются 6 и 5 % рынка соответственно, рассчитывают на возвращение роста в сегменте смартфонов в ближайшие месяцы. В автомобильном сегменте выручка во втором квартале начнёт расти у GlobalFoundries, а вот UMC будет сложнее наблюдать аналогичный эффект. В большинстве сегментов рынка ситуация со складскими запасами приблизилась к нормальной, поэтому заказчики их начнут восполнять в текущем году, формируя более благоприятные условия для работы контрактных производителей чипов.

Южная Корея выделит внушительные $19 млрд на поддержку производства чипов

Если в начале этого месяца правительство Южной Кореи планировало выделить на субсидирование национальной полупроводниковой отрасли более $7,34 млрд, то окончательно принятый бюджет программы поднял эту планку до эквивалента $19 млрд. Непосредственно на поддержку производства чипов из этой суммы будет направлено около $12,5 млрд, остальное составят налоговые льготы.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Банк развития Кореи, контролируемый государством, будет распределять указанные средства между получателями субсидий, как поясняет Reuters. Около $734 млн из общей суммы будет направлено на поддержку производителей оборудования для производства чипов и компаний, которые занимаются разработками, но не владеют собственными производственными мощностями. Заметим, что крупнейшая южнокорейская компания в лице Samsung Electronics не только разрабатывает чипы и выпускает их своими силами, но и выступает в роли контрактного производителя для сторонних разработчиков, включая иностранные компании.

Власти Южной Кореи стремятся увеличить конкурентоспособность национальной полупроводниковой отрасли за пределами сегмента памяти, который позволил местным производителям выйти в мировые лидеры. Если Samsung Electronics является крупнейшим производителем всех видов памяти, то менее крупная SK hynix в последние годы выбилась вперёд по выпуску микросхем HBM, востребованных ускорителями вычислений для систем искусственного интеллекта. Экспорт полупроводниковой продукции обеспечивает 18 % всех экспортных доходов Южной Кореи, если опираться на данные за апрель этого года.

Бесфабричные разработчики из Южной Кореи, по словам местных властей, могут претендовать лишь на 1 % мирового рынка чипов. Корейские компании отстают в технологическом плане от ведущих зарубежных контрактных производителей чипов. Поддержка государства должна устранить этот отставание хотя бы частично.

В России начали тестировать первый отечественный литограф — он сможет выпускать 350-нм чипы

В кулуарах конференции ЦИПР 2024 заместитель министра промышленности и торговли РФ Василий Шпак рассказал представителю ТАСС, что первый российский литографический сканер создан и проходит испытание в Зеленограде. Оборудование обеспечит выпуск чипов с технологическими нормами до 350 нм. Это очень зрелая литография, которая в основном пользуется спросом в автопроме, энергетике и связи.

 Источник изображения: Антон Новодережкин/ ТАСС

Источник изображения: Антон Новодережкин/ ТАСС

«Первый отечественный литограф мы собрали, сделали. Он сейчас проходит уже испытания в составе технологической линейки в Зеленограде», — цитирует ТАСС слова чиновника.

Ведущих производителей литографических сканеров в мире можно пересчитать по пальцам одной руки. По факту в этой сфере доминирует нидерландская компания ASML, производственные подразделения которой размещены в Нидерландах, Германии, США и ещё в ряде ведущих стран. Большей самостоятельностью могут похвастаться японские производители литографического оборудования — компании Canon и Nikon, но они давно выбыли из перечня лидеров. Остаётся ещё Китай, который быстро наращивает производство сканеров и сопутствующего оборудования. Полной информации по Китаю нет, но складывается ощущение, что они многое научились делать сами.

Также пока нет подробностей о российском сканере. В разработке было несколько проектов, включая литографию с использованием синхротронного излучения. В данном случае речь явно не об этом проекте, который намечали возродить на острове Русский (Владивосток) к 2026 году.

Тайваньские фабрики чипов завалили заказами после повышения США пошлин на продукцию из Китая

Со второй половины позапрошлого года тайваньские контрактные производители чипов второго эшелона испытывали низкий уровень загрузки конвейера, поскольку клиенты переваривали накопленные за время пандемии увеличенные запасы полупроводниковых компонентов. Решение властей США поднять тарифы на импорт чипов китайского производства вызвало приток желающих выпускать свои чипы на Тайване.

 Источник изображения: UMC

Источник изображения: UMC

Об этом сообщает TrendForce, напоминая, что со следующего года поставки полупроводниковых компонентов китайского производства в США будут облагаться пошлиной в размере 50 %. Пока практика применения таких тарифов ещё не совсем ясна, но предполагается, что заградительные пошлины не коснутся продукции китайских марок как таковых, если она произведена за пределами КНР, и готовых изделий на их основе, собранных за пределами Китая. Исключением могут считаться только электромобили, но они и так стали объектом особого внимания американских властей с точки зрения таможенной политики.

Поскольку выпускать в Китае чипы для последующей их реализации «россыпью» в США скоро станет невыгодно, заказчики начали активнее обращаться к тайваньским контрактным производителям. В результате степень загрузки конвейера компании Vanguard должна во второй половине этого года вырасти более чем до 75 %, у компании PSMC она уложится в диапазон от 85 до 90 %, а являющаяся крупнейшим конкурентом TSMC компания UMC столкнётся с ростом степени загрузки конвейера до 70–75 %.

Некоторые наблюдаемые на рынке полупроводниковых компонентов тенденции, как отмечает TrendForce, при этом противоречат друг другу. С одной стороны, складские запасы продукции со времён пандемии истощаются на направлении смартфонов, телевизоров и ЖК-мониторов. С другой стороны, высокие темпы инфляции и значения ставок рефинансирования сдерживают покупательскую активность, поэтому спрос на полупроводниковые компоненты восстанавливается не так быстро, как мог бы. TrendForce изначально ожидала, что степень загрузки предприятий по выпуску чипов достигнет минимума в первом квартале текущего года и потом будет восстанавливаться, чтобы во втором полугодии достичь уровня в 70 % для линий по обработке кремниевых пластин типоразмера 200 мм, и уровня в 75–85 % для линий, работающих с пластинами типоразмера 300 мм. Теперь на эти показатели может оказать воздействие грядущее повышение таможенных пошлин в США.

Перенести на Тайвань производство чипов памяти типа NOR Flash в следующем году собираются Cypress и Gigadevice, для чего уже ведут переговоры с компанией PSMC. Лидирующая по многим критериям в сегменте зрелой литографии UMC рассчитывает на дополнительные заказы европейских и американских клиентов типа Infineon, Texas Instruments и Microchip. До сих пор они предпочитали заказывать выпуск некоторой части своей продукции у китайских подрядчиков, но перспективы роста тарифов в США вынуждают переориентироваться на работу с тайваньскими контрактными производителями.

Операционный директор Apple провёл тайные переговоры с TSMC по поводу выпуска ИИ-чипов

Решение Apple начать использование процессоров M2 Ultra собственной разработки в составе своей серверной инфраструктуры, о котором стало известно недавно, может оказаться лишь первым шагом на пути создания специализированных чипов для ускорения искусственного интеллекта. По слухам, представитель руководства Apple недавно посетил Тайвань для проведения переговоров с TSMC о перспективе выпуска ИИ-чипов.

 Источник изображения: TSMC

Источник изображения: TSMC

Как отмечает издание Economic Daily News, во время своей поездки на Тайвань операционный директор Джефф Уильямс (Jeff Williams) встретился с генеральным директором TSMC Си-Си Вэем (C.C. Wei). Встреча не предавалась широкой огласке и была, как считается, посвящена обсуждению возможности TSMC наладить контрактный выпуск чипов Apple собственной разработки для серверных систем искусственного интеллекта. Руководители также обсуждали возможности TSMC в сфере упаковки и тестирования чипов со сложной пространственной компоновкой. Последние, как известно, в последние месяцы почти полностью направлены на удовлетворение спроса Nvidia на чипы для ускорителей вычислений.

Перспективные чипы серверного назначения Apple будет использовать в собственной вычислительной инфраструктуре, но из-за высокой себестоимости не станет продвигать в сегмент потребительских устройств. По сути, на открытом рынке они так и не появятся. Apple остаётся одним из крупнейших клиентов TSMC, она традиционно получает доступ к новым техпроцессам этого подрядчика первой. В текущем году выручка TSMC от оказания услуг Apple приблизится к рекордным $19 млрд, как считают некоторые эксперты.

ASML и TSMC придумали, как удалённо испортить EUV-оборудование в случае вторжения Китая

На Тайване производится более 90 % мирового объёма чипов с использованием передовой литографии. Компания ASML поставила своим клиентам с 2016 года более 200 сканеров для работы с EUV-литографией, многие из них сейчас эксплуатируются на Тайване компанией TSMC. Сообщается, что у компаний ASML и TSMC есть способы удалённо вывести из строя самые сложные в мире машины для производства микросхем в случае вторжения Китая на Тайвань.

 Источник изображения: ASML

Источник изображения: ASML

Как отмечает Bloomberg, не предаваемые особой огласке консультации американских чиновников с представителями Нидерландов и Тайваня касались обсуждения возможных последствий захвата острова китайскими военными. Представители ASML успокоили американских партнёров, заявив, что могут дистанционно вывести из строя литографическое оборудование класса EUV, эксплуатируемое на Тайване. Это было подтверждено тематическими испытаниями, которые ASML проводила, имитируя в ходе учений действия компании в случае захвата Тайваня. Также возможность удалённо вывести из строя передовое оборудование имеется у эксплуатирующей его TSMC.

По всей видимости, ASML сохраняет доступ к своему экспортируемому оборудованию по глобальным каналам связи, поскольку он позволяет ей удалённо диагностировать возникающие технические неполадки и проводить настройку уже после того, как оборудование установлено на предприятии клиента. Соответственно, в случае серьёзной опасности ASML имеет возможность дистанционно нарушить работу программного обеспечения, управляющего оборудованием, сделав его непригодным для использования посторонними. Данная информация не была подтверждена представителями официальных структур США и Нидерландов, либо сотрудниками компаний ASML и TSMC, как отмечает Bloomberg.

Готовность прибегнуть к осознанному саботажу в прошлом году подтвердил и председатель совета директоров TSMC Марк Лю (Mark Liu). По его словам, если оккупанты доберутся до предприятий этой компании, они застанут их в неработоспособном состоянии. Как отметил глава правления TSMC, никто не может овладеть компанией силой.

Imec построит опытную линию для освоения техпроцессов тоньше 2 нм

Бельгийская компания Imec не только в числе первых получила доступ к новейшему литографическому оборудованию ASML с высоким значением числовой апертуры, но и оказалась в числе партнёров Rapidus, взявшихся помочь консорциуму наладить в Японии производство 2-нм чипов к 2027 году. В Бельгии Imec при субсидировании со стороны властей ЕС построит опытную линию, на которой будет осваивать техпроцессы тоньше 2 нм.

 Источник изображения: Imec

Источник изображения: Imec

Об этом сообщает агентство Reuters одновременно с принятым властями Евросоюза решением выделить Imec и другим исследовательским организациям региона 2,5 млрд евро субсидий. В рамках принятого в 2023 году европейского «Закона о чипах» власти региона могут распоряжаться до 43 млрд субсидий, направляемых на развитие местной полупроводниковой отрасли. Опытная линия Imec важна для мировой полупроводниковой промышленности, но за счёт её создания на территории Бельгии европейские власти надеются ускорить внедрение передовых литографических технологий на региональных мероприятиях.

Партнёрами Imec по реализации проекта станут поставщики оборудования и материалов для производства чипов со всего мира, а нидерландская ASML выразила готовность вложить 1,1 млрд евро собственных средств. Власти Бельгии и ряда других стран Европы дополнительно вложат в создание пилотной линии NanoIC около 1,4 млрд евро.

Примеров успешного получения производителями чипов в Европе подобных субсидий пока не так много. Намеревающаяся построить предприятие во Франции компания STMicroelectronics пока добилась права получить 2,9 млрд евро государственной поддержки, а вот американская Intel и тайваньская TSMC всё ещё ждут, когда им будут предоставлены субсидии на строительство предприятий в Германии, хотя та же TSMC утверждает, что приступит к возведению своего к четвёртому кварталу текущего года. Акционерами так называемой ESMC станут три европейские компании: NXP, Bosch и Infineon, каждая из которых получит по 10 % в капитале совместного предприятия с TSMC.

Высокий спрос HBM вызовет рост цен на DDR5 и DDR4

Как отмечает TrendForce, в марте и апреле цены на микросхемы памяти типа DDR4 стабилизировались после примерно четырёх месяцев непрерывного роста, но этой стабильности теперь угрожает ситуация с балансом спроса и предложения на микросхемы HBM, которые могут выпускаться на тех же предприятиях, что и DDR4 или DDR5. Цены на память двух последних типов в текущем квартале могут вырасти минимум на 5–10 %.

 Источник изображения: Micron Technology

Источник изображения: Micron Technology

Материал на страницах TrendForce ссылается на опубликованную Nikkei на прошлой неделе новость о намерениях поставщиков памяти поднять цены на DRAM на 5 или 10 % относительно первого квартала. Представители ресурса поясняют, что на данном этапе основным фактором, вызывающим рост цен на DDR4/DDR5, станет дефицит мощностей для выпуска HBM. Как уже отмечалось накануне, производство последнего типа памяти требует большего количества кремниевых пластин, поскольку кристаллы HBM сами по себе крупнее, да и уровень брака при производстве стеков HBM достаточно высок. Переориентируя производственные линии DRAM под выпуск более прибыльной HBM, компании невольно сокращают мощности, на которых можно выпускать DDR, и это предсказуемо создаёт условия для роста цен.

В сегменте HBM темпы строительства новых предприятий будут зависеть от прибыльности данного бизнеса. Сейчас все квоты на производство HBM у основных поставщиков уже распределены не только до конца текущего, но и на значительную часть следующего года. Новые же предприятия будут введены в строй не ранее следующего года. На рынок при этом выходят ускорители Nvidia с увеличенным объёмом памяти типа HBM3E, это лишь повышает спрос на соответствующие микросхемы. Стало быть, формируются условия для роста цен на прочие типы DRAM и дефицит предложения.

Полупроводниковый бизнес Samsung Electronics возглавит новый руководитель

Южнокорейская компания Samsung Electronics традиционно сильно зависит от производства памяти, но многолетние попытки снизить эту зависимость не помешали ей на этой неделе назначить в качестве руководителя полупроводникового бизнеса Чун Юн Хёна (Jun Young Hyun), который с 2000 года участвовал в разработке DRAM и NAND разных поколений, а также руководил бизнесом компании по выпуску аккумуляторов.

 Источник изображения: BusinessWire

Источник изображения: BusinessWire

На этом посту новый глава полупроводникового подразделения Samsung сменяет Кюн Ки Хёна (Kyung Kye-hyun), который отныне будет руководить исследовательским подразделение Advanced Institute of Technology и курировать перспективные направления бизнеса. Как считается, данные перестановки произошли после того, как крупнейший производитель памяти в мире отстал от своего соперника SK hynix в сфере разработки и производства HBM, весьма востребованной в эпоху систем искусственного интеллекта.

В этом году SK hynix столкнулась с самым быстрым ростом выручки с 2010 года, её акции подорожали с начала текущего года на 36 %. Этой компании удалось стать крупнейшим поставщиком чипов HBM для ускорителей вычислений. SK hynix вынашивает планы по расширению производства HBM, подразумевающие многомиллиардные инвестиции не только на территории Южной Кореи, но и в США. Samsung в текущем году рассчитывает увеличить объёмы поставок HBM минимум в три раза и приступит к массовому производству 12-ярусных стеков HBM3E в текущем квартале. Фондовый рынок на смену руководства профильного подразделения Samsung отреагировал вяло, акции компании потеряли в цене менее 1 %.

На память HBM к концу года придётся 35 % производства DRAM по передовым техпроцессам

Экспансию производства памяти типа HBM с не совсем очевидной стороны попробовали оценить аналитики TrendForce. По их мнению, к концу этого года на производство HBM будут уходить до 35 % кремниевых пластин, обрабатываемых с использованием передовой литографии, применяемых для выпуска оперативной памяти (DRAM).

 Источник изображения: Micron Technology

Источник изображения: Micron Technology

Если рассматривать техпроцессы 10-нм класса в целом, то они к концу года, по мнению специалистов TrendForce, будут использоваться для обработки до 40 % кремниевых пластин, используемых при производстве памяти DRAM. Как можно понять, основная часть таких пластин будет направляться в производство HBM. Во-первых, при выпуске HBM не так уж высок уровень выхода годной продукции, он сейчас составляет 50 или 60 % от силы. Во-вторых, кристаллы чипов памяти HBM имеют на 60 % большую площадь по сравнению с другими популярными типами DRAM, поэтому с одной пластины можно получить меньше чипов HBM, пригодных для использования по назначению. Соответственно, для выпуска памяти HBM по этой причине требуется больше кремниевых пластин. Если 35 % передовых пластин к концу года будут использоваться при производстве HBM, то оставшиеся 65 % распределят между собой LPDDR5X и DDR5.

Более того, эксперты TrendForce считают, что HBM3E в этом году успеет стать доминирующим на конвейере типом памяти HBM. Компании SK hynix и Micron её уже выпускают в массовых количествах для Nvidia, используя техпроцессы 10-нм класса типа «бета» для обработки кремниевых пластин. Компания Samsung Electronics готовится начать поставки своей памяти HBM3E для нужд Nvidia в середине текущего года. Она будет использовать техпроцессы 10-нм класса типа «альфа» для обработки соответствующих кремниевых пластин.

Спрос на кремниевые пластины при выпуске DRAM растёт ещё и по причине повышения среднего объёма памяти в удельном выражении на одно устройство. Больше всего тенденция выражена в серверном сегменте, где средний объём ОЗУ для сервера достиг 1,75 Тбайт из-за высокой популярности систем искусственного интеллекта. К концу текущего года, помимо прочего, DDR5 начнёт доминировать на рынке ОЗУ, перевалив за 50 % в показателях доли рынка.

Во втором полугодии вырастет сезонный спрос как на HBM3E, так и на DDR5 и LPDDR5X. При этом производители памяти будут осторожно наращивать свои мощности после ударившего по ним в прошлом году кризиса перепроизводства. Поскольку больше кремниевых пластин будет требоваться для выпуска HBM3E, то может возникнуть дефицит сырья для производства DDR5 и LPDDR5X. Цены на два последних типа памяти могут в результате вырасти.

Производители DRAM при этом могут столкнуться с полной загрузкой своих существующих предприятий к концу этого года, а планы по расширению мощностей они будут реализовывать лишь в следующем, поэтому в какой-то момент может сложиться дефицит мощностей по выпуску микросхем DRAM. Ускорители вычислений типа Nvidia GB200, оснащаемые 384 Гбайт памяти HBM3E в максимальной конфигурации, будут только усиливать спрос на память соответствующего типа. Разработка HBM4 тоже будет поддерживать тенденцию к росту спроса на кремниевые пластины, поэтому нехватка мощностей может стать серьёзной проблемой для производителей прочих типов DRAM. Финансировать же строительство новых линий они готовы лишь в том случае, если цены на память будут достаточно высокими, чтобы получать подходящую для этого прибыль.

Чипы стали новой нефтью в борьбе мировых держав за лидерство

Сегодня компьютерные чипы стали двигателем мировой экономики. Решающая роль компьютерных чипов оказалась очевидной в эпоху пандемии, когда их производство было нарушено, а мировые цепочки поставок поверглись в хаос. А ещё они позволили создать генеративный искусственный интеллект, который обещает преобразить многие отрасли. Сегодня полупроводниковые компоненты стали предметом ожесточённой конкуренции между мировыми державами, пишет Bloomberg.

 Источник изображения: Maxence Pira / unsplash.com

Источник изображения: Maxence Pira / unsplash.com

Чипы необходимы для обработки огромных объёмов информации — из-за этого они уже конкурируют с нефтью в качестве движущей силы экономики. Микросхемы изготавливаются из различных материалов, наносимых на кремниевые диски. Чипы памяти, отвечающие за хранение данных, относительно просты и дёшевы. Логические микросхемы, отвечающие за запуск программ, более сложны и дороги. От доступа к таким компонентам как ИИ-ускоритель Nvidia H100 сейчас зависят национальная безопасность стран и благосостояние технологических гигантов, включая Google и Microsoft — они строят гигантские центры обработки данных, чтобы сохранить лидерство в области вычислений. От чипов зависят и многие предметы повседневного обихода. Чипы в больших объемах присутствуют на автомобилях — например, они преобразуют нажатия кнопок в сигналы. Чипы управляют питанием на всех устройствах с аккумуляторами.

Значительная часть ведущих мировых полупроводниковых технологий зародилась в США, но сегодня лидерами в производстве передовых полупроводниковых компонентов стали Тайвань и Южная Корея. Крупнейшим рынком электронных компонентов является Китай, у которого растёт желание нарастить собственное производство чипов, которые он сам и потребляет. Вашингтон же пытается ограничить развитие своего азиатского конкурента, который, по его словам, угрожает национальной безопасности США — меры экспортного контроля направлены на сдерживание Китая. США также выделили значительные средства на восстановление полупроводникового производства в стране, чтобы снизить зависимость от предприятий в Восточной Азии — их примеру последовали другие страны, включая Германию, Испанию, Индию и Японию.

Производство чипов остаётся нестабильным и эксклюзивным бизнесом. Строительство завода обходится более чем в $20 млрд, оно занимает годы, а для выхода на прибыльность он должен работать круглосуточно. Сегодня передовые производственные мощности есть лишь у трёх компаний в мире: тайваньской TSMC, южнокорейской Samsung и американской Intel. Первые две выступают как подрядчики, производя чипы для других компаний по всему миру. Intel ранее выпускала чипы только для собственных нужд, но сейчас рассчитывает составить конкуренцию TSMC и Samsung в качестве контрактного производителя. Существует также сегмент аналоговых чипов, необходимых, например, для управления питанием и температурой в смартфонах, а также преобразованием аналоговых сигналов в цифровые. Здесь лидируют американская Texas Instruments и нидерландская STMicroelectronics, но активно развивает это направление и Китай.

Несмотря на усилия Пекина, китайские производители чипов по-прежнему зависят от американских технологий, а их доступ к зарубежным решениям из-за санкций сокращается. В 2023 году Вашингтон запретил поставлять в Китай некоторые чипы и оборудование для их производства в областях, которые в США воспринимаются как военная угроза — речь идёт о суперкомпьютерах и системах искусственного интеллекта. Некоторые китайские компании, например, Huawei, оказались в «чёрном списке» американских властей. Это значит, что американские поставщики передовых компонентов должны получать разрешение правительства на продажу своей продукции этим компаниям. Но и Китай не сидит без дела. Huawei возглавила строительство секретных предприятий по производству чипов по всему Китаю — в 2023 году был представлен смартфон на базе процессора, изготовленного по технологии 7 нм. Это более продвинутое решение, чем предполагают действующие американские санкции.

США выделили $39 млрд для прямых инвестиций и $75 млрд на льготные кредиты для местных полупроводниковых производителей. ЕС разработал собственный план с бюджетом $46,3 млрд — совместно с частными инвестициями эта сумма, как ожидается, вырастет до $108 млрд. К 2030 году Европа намеревается удвоить объёмы производства полупроводников и занять 20 % мирового рынка. В феврале Индия выделила на эти же цели $15 млрд. Объёмы инвестиций Саудовской Аравии могут достичь $100 млрд. Япония выделила $25,3 млрд — TSMC построит в стране два завода, а местная Rapidus намеревается к 2027 году наладить производство логических чипов по технологии 2 нм.

Самым значительным риском для отрасли является геополитическая напряжённость вокруг Тайваня, где базируется TSMC. Компания практически в одиночку выстроила бизнес-модель контрактного производства для других компаний. Сегодня на неё полагаются Apple, AMD, Nvidia и прочие известные бренды. В 2022 году TSMC обошла Intel по объёму выручки. Любому другому игроку потребуются колоссальные вложения и годы работы, чтобы достичь уровня TSMC.

За пять лет TSMC увеличит объёмы выпуска продукции по зрелым техпроцессам на 50 %

Предсказуемо больше говоря о своих планах по освоению передовой литографии, компания TSMC традиционно старалась не менее 10 % своих капитальных затрат направлять на развитие производства специализированных чипов, которые характеризуются сочетанием низкого энергопотребления и умеренной себестоимости. К 2028 году компания рассчитывает увеличить объёмы выпуска такой продукции на 50 %.

 Источник изображения: TSMC

Источник изображения: TSMC

Обычно, как поясняет AnandTech после изучения материалов Европейского технологического симпозиума TSMC, для выпуска подобных изделий компания использовала зрелые техпроцессы, которые осваивались на предприятиях, ранее выпускавших продвинутую для своего периода продукцию, но по мере переноса прогрессивных технологий на новые площадки более старые сосредотачивались на выпуске специализированных чипов.

В ближайшие пять лет, как пояснил старший вице-президент TSMC Кевин Чжан (Kevin Zhang), компания собирается увеличить объёмы выпуска специализированных чипов в полтора раза. Что характерно, впервые за долгое время для этого будут построены предприятия, которые изначально ориентированы под выпуск такой продукции. Впрочем, среди них появятся и те, которые будут использовать достаточно современный техпроцесс N4e, изначально разработанный для данной сферы применения с учётом низкого энергопотребления производимых чипов.

Сейчас среди аналогичных техпроцессов TSMC самым продвинутым является N6e, который позволяет чипам работать при диапазоне напряжений от 0,4 до 0,9 В. После освоения техпроцесса N4e компания рассчитывает опустить напряжения ниже отметки 0,4 В. О сроках внедрения такого техпроцесса чёткой информации нет, но надо понимать, что освоен он будет до 2028 года. Скорее всего, подробности будут раскрыты в следующем году. Как уже не раз отмечали представители TSMC, их не очень беспокоит активная экспансия производства чипов с использованием зрелых техпроцессов китайскими конкурентами. TSMC основную часть своих специализированных чипов поставляет клиентам, с которыми связана долгосрочными контрактами, а потому отток заказчиков к китайским соперникам не столь вероятен.


window-new
Soft
Hard
Тренды 🔥
Бывший сотрудник Rockstar объяснил, почему GTA III, Vice City и San Andreas остались без мультиплеера 1 мин.
Apple пояснила, из-за чего на iPhone недавно всплыли давно удалённые фото 32 мин.
Минпромторг РФ задумался о развитии ПО для разработки микроэлектроники 33 мин.
OpenAI больше не будет принуждать экс-сотрудников к молчанию ради акций 3 ч.
Из жизни ушла собака, подарившая миру мем Доге и ставшая символом Dogecoin 3 ч.
Надёжный инсайдер раскрыл тайную игру из следующей бесплатной раздачи Epic Games Store 3 ч.
Браузер Arc Search теперь позволяет позвонить в интернет-поиск со смартфона 4 ч.
OpenAI продолжает терять сотрудников — они считают, что ИИ может быть опасен для человечества 5 ч.
Лучше поздно, чем никогда: спустя почти 10 лет разработчики The Sims 4 всерьёз возьмутся за исправление игры 5 ч.
Bandai Namco заинтриговала игроков иллюстрацией Elden Ring: Shadow of the Erdtree, а в открытый доступ просочились новые скриншоты 5 ч.