Сегодня 01 мая 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → нидерланды
Быстрый переход

С завтрашнего дня руководить ASML будет новый гендир — ему предстоит разрулить ворох проблем

Формально нидерландская компания ASML отчиталась об итогах первого квартала ещё при старом генеральном директоре Петере Веннинке (Peter Wennink), но его преемник Кристоф Фуке (Christophe Fouquet) вступит в должность уже завтра. Ему предстоит руководить самой дорогой компанией Европы в очень непростых геополитических условиях.

 Источник изображения: ASML

Источник изображения: ASML

ASML является крупнейшим в мире поставщиком литографических сканеров, без которых в наши дни не обходится выпуск полупроводниковых компонентов. Из примерно 40 000 сотрудников ASML примерно 40 % являются иностранцами, и это уже само по себе представляет определённую проблему для компании, которая настроена существенно увеличить объёмы выпуска продукции в условиях, когда власти Нидерландов пытаются ужесточить иммиграционное законодательство. Компания располагает примерно 5000 поставщиками специализированных компонентов, и с ними новому руководству ASML тоже предстоит поддерживать доброжелательные и конструктивные отношения.

Опыт работы в отрасли на протяжении 16 лет, как считает издание Wired, поможет Кристофу Фуке сохранить лидерство ASML в сегменте литографических сканеров, но политика властей США и Нидерландов, которые запрещают компании экспортировать в Китай самые передовые литографические системы, невольно подталкивает китайскую сторону к импортозамещению. Не желая оставаться за бортом технического прогресса, как того хотели бы США и их союзники, Китай будет вынужден разработать собственное литографическое оборудование. При этом в прошлом квартале эта страна определяла 49 % выручки ASML, поэтому дальнейшие экспортные ограничения на этом направлении будут негативно сказываться на финансовых результатах деятельности компании.

Летом прошлого года Кристоф Фуке высказывался в пользу сохранения международного сотрудничества, утверждая, что достижение технологического суверенитета отдельными странами будет весьма сложным и дорогим мероприятием. Нидерландские эксперты также считают, что бизнес ASML уже давно вырос далеко за пределы национальных интересов, и решать вопросы типа предоставления экспортных лицензий на поставку оборудования в Китай должны власти Евросоюза. Санкции против Китая обостряют вопрос конкуренции с местными производителями оборудования, и у ASML не так много рычагов воздействия на ситуацию. Привлекая больше внимания к проблемам компании на уровне Евросоюза, новый глава ASML мог бы создать более благоприятные условия для её работы.

ASML в обмен на субсидии властей решилась на расширение в Нидерландах

С начала этого года обсуждалась ситуация с иммиграционным законодательством в Нидерландах, которая препятствует гармоничному развитию бизнеса ASML. Слухи приписывали компании желание начать расширение за пределами родной страны, а власти пытались её переубедить. Теперь стало ясно, что это будет сделано за счёт субсидий на сумму 2,5 млрд евро.

 Источник изображения: ASML

Источник изображения: ASML

Компания, как сообщает Bloomberg, подписала с властями Нидерландов соглашение о намерениях, которое подразумевает последующее развитие бизнеса в окрестностях нынешней штаб-квартиры в Эйндховене на юге страны. К 2030 году ASML намеревается удвоить свои производственные мощности, поскольку рассчитывает на рост спроса на выпускаемое литографическое оборудование в связи с наблюдаемым бумом в сфере систем искусственного интеллекта.

ASML предпочитает сохранять свои ключевые виды активности как можно ближе к уже существующим предприятиям в Велдховене к юго-западу от штаб-квартиры. В свою очередь, власти страны должны будут, по её мнению, обеспечить доступ к адекватным энергетическим ресурсам, дорожной сети и жилью для сотрудников, а также позаботиться об их образовании. Именно на эти цели и будут направлены те 2,5 млрд евро, которые чиновники решились выделить для удержания ASML на исторической родине. Инициатива по «приземлению» ASML была предпринята властями с учётом неприятного опыта переноса штаб-квартир корпораций Unilever и Shell из Нидерландов в Великобританию.

США хотят запретить нидерландской ASML обслуживать оборудование для выпуска чипов, проданное в Китай

Подводя итоги межведомственному расследованию о происхождении выпускаемых китайцами 7-нм процессоров, американские чиновники пришли к выводу, что китайские производители не имеют возможности выпускать их в достаточных количествах, а подходящее для этого оборудование рано или поздно выйдет из строя. Ускорить последний процесс помогут санкции, к введению которых власти США склоняют Нидерланды.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что американским поставщикам оборудования для производства чипов власти США уже запретили обслуживать свою продукцию, работающую на территории КНР. Аналогичные усилия будут предприниматься и в отношении нидерландской ASML, которая является крупнейшим поставщиком литографических сканеров для выпуска чипов. Об этом ещё раз заявило агентство Reuters на текущей неделе, пояснив, что курирующий вопросы экспортного контроля в Министерстве торговли США Алан Эстевез (Alan Estevez) в понедельник проведёт тематические переговоры с властями Нидерландов во время своего визита в эту страну.

Кроме того, американский чиновник может обсудить с нидерландскими коллегами расширение списка китайских компаний и предприятий, находящихся под технологическими санкциями. МИД Нидерландов уже подтвердило, что визит Алана Эстевеза состоится в понедельник, но отказалось давать пояснения относительно повестки дня.

Для ASML китайский рынок остаётся вторым по величине после Тайваня, в КНР компания по итогам прошлого года получила 29 % всей выручки, а потому ограничения на обслуживание местных клиентов могут подорвать финансовые показатели европейского производителя оборудования. Конечно, запрет не будет носить тотального характера, поскольку речь идёт лишь об определённых типах оборудования, но тенденция к усилению экспортного контроля вряд ли обрадует этого поставщика. Решительно настроен и премьер-министр Нидерландов Марк Рютте (Mark Rutte), который готов блокировать поставки в Китай передового технологического оборудования, исходя из интересов ослабления промышленного потенциала России. Считается, что Рютте является одним из главных претендентов на пост генерального секретаря НАТО, а потому в таких вопросах он готов демонстрировать решимость.

Нидерланды призвали координировать антикитайские технологические санкции на уровне всего Евросоюза

Крупнейший поставщик литографического оборудования ASML базируется в Нидерландах, поэтому власти этой страны антикитайские санкции до сих пор координировали преимущественно с партнёрами в США и Японии. Тем не менее, власти Нидерландов настаивают на более тщательной координации санкций в технологической сфере на уровне всего Евросоюза.

 Источник изображения: ASML

Источник изображения: ASML

Об этом стало известно с подачи Bloomberg, агентство пояснило, что Евросоюз сейчас разрабатывает общий для стран-участниц блока план по защите экономических интересов. Власти Нидерландов предлагают создать единый для Евросоюза список продукции и технологий, поставки которых в недружественные страны будут запрещены. Странам блока также предлагается предоставить больше полномочий в сфере определения правил поставок на экспорт оборудования и техники двойного назначения.

Давление США на своих союзников в Европе усиливается с прошлого года, но Нидерланды или Германия не хотят оказаться в условиях, когда соседние страны блока будут обладать некими послаблениями по сравнению с ними. Между странами Евросоюза, по мнению нидерландских чиновников, должно вестись более активное взаимодействие по обмену информацией в сфере экспортного контроля. Все вводимые в регионе меры должны предварительно обсуждаться со странами блока, а ключевые стратегические изменения приниматься только после обсуждения на высшем уровне заинтересованных государств. Страны Евросоюза должны обмениваться имеющейся у них аналитической информацией по конкретным проблемам, прежде чем выносить на общее обсуждение новые меры по решению этих проблем в сфере экспортного контроля.

Yandex продала «Яндекс» за 475 млрд рублей

Нидерландская компания Yandex N.V. решила продать свои российские активы консорциуму инвесторов во главе с менеджментом компании, которые после соответствующей реструктуризации российского бизнеса «Яндекса» надеются вывести её акции на Московскую биржу. Новые собственники обещают, что «Яндекс» останется частной и независимой публичной компанией. Генеральным директором Яндекса останется Артём Савиновский.

 Источник изображения: Unsplash, Bekzhan Talgat

Источник изображения: Unsplash, Bekzhan Talgat

Как поясняется в официальном пресс-релизе, сделка на сумму 475 млрд рублей позволит «Яндексу» отделиться от головной структуры в Нидерландах, которая до 31 июля сменит название и перестанет использовать торговые марки «Яндекса». Российская структура компании получит наименование «Международная компания акционерное общество Яндекс» (МКАО «Яндекс»), в её планы входит выход на Московскую биржу.

Сервисы, бизнес и активы группы Yandex N.V. перейдут к новым собственникам в России, за исключением зарубежных стартапов Nebius, Toloka, Avride и TripleTen, а также центра обработки данных в Финляндии. До конца 2024 года Яндекс предоставит бывшей головной структуре в Нидерландах ограниченные права на использование своих технологий. Как отмечается в пресс-релизе, «"Яндекс" продолжит развивать поисковые, городские, развлекательные и образовательные сервисы, а также облачные технологии, автономные автомобили, технологии искусственного интеллекта и другие перспективные направления».

Топ-менеджеры «Яндекса» и ЗПИФ «Консорциум.Первый» получат основную долю акций МКАО «Яндекс». В состав пайщиков этого закрытого паевого инвестиционного фонда войдут ещё четыре юридических лица: структура Александра Чачава, предпринимателя и основателя венчурного фонда LETA Capital; структура Павла Прасса, генерального директора одного из крупнейших специализированных депозитариев «ИНФИНИТУМ»; структура группы «ЛУКОЙЛ»; структура Александра Рязанова, многопрофильного инвестора и предпринимателя. Ни у кого из пайщиков не будет контролирующей доли, «Консорциум.Первый» при этом сможет номинировать шесть из десяти представителей в состав Совета директоров МКАО «Яндекс».

После всех сопутствующих согласований ЗПИФ планирует предложить держателям акций класса «А» различные опции по их позициям в ценных бумагах, что должно позволить розничным инвесторам стать акционерами МКАО «Яндекс» после выхода компании на Московскую биржу. Группа менеджеров Яндекса через «Фонд менеджеров» сможет сохранить особые права при голосовании на заседаниях совета директоров по ключевым вопросам, а также на общем собрании акционеров. «Фонду менеджеров» также разрешается номинировать двух из десяти членов совета директоров МКАО «Яндекс». Сделка по продаже бизнеса Яндекса должна быть согласована собранием акционеров Yandex N.V. и регулирующими органами. Примерно половина из 475 млрд рублей будет оплачена денежными средствами. Сделку планируется закрыть во второй половине текущего года.

ASML и Samsung потратят $760 млн на исследовательский центр в Южной Корее

На этой неделе состоялся важный государственный визит — президент Южной Кореи Юн Сок Ёль (Yoon Suk Yeol) отправился в Нидерланды, где располагается штаб-квартира ASML — крупнейшего в мире поставщика литографических сканеров. Результатом визита стало соглашение между ASML и Samsung о строительстве исследовательского центра в Южной Корее.

 Источник изображения: ASML

Источник изображения: ASML

Как поясняет Bloomberg со ссылкой на комментарии представителей ASML, новый исследовательский центр, в развитие которого стороны собираются вложить $760 млн, будет специализироваться на оборудовании и технологиях, связанных со сверхжёстким ультрафиолетовым излучением (EUV). Когда оно применяется в литографии, то позволяет выпускать полупроводниковые компоненты с самыми «тонкими» на сегодняшний день технологическими нормами.

У ASML в Южной Корее уже есть четыре сервисных центра, которые нужны для обслуживания местных клиентов, включая и Samsung. Появление исследовательского центра позволит той же Samsung быстрее осваивать использование нового технологического оборудования ASML и эффективнее адаптировать собственные техпроцессы под возможности такого оборудования. В свою поездку в Нидерланды президент Южной Кореи прихватил исполнительного председателя совета директоров Samsung Electronics Ли Джэ Ёна (Lee Jae-yong) и председателя правления SK Group Чей Тэ Вона (Chey Tae-won).

Лидерам двух стран также удалось договориться о сотрудничестве в образовательной сфере. Южнокорейские рабочие и студенты смогут получать профильное образование в Нидерландах, чтобы в случае необходимости получать рабочие места как в европейской, так и в южнокорейской полупроводниковых отраслях. Компания ASML в подобных трудовых мигрантах крайне заинтересована, поскольку на фоне ужесточения иммиграционного законодательства и необходимости расширения производства испытывает кадровый голод.

Программа визита президента Южной Кореи в Нидерланды включала и посещение предприятия ASML, на котором производят сканеры для работы со сверхжёсткой ультрафиолетовой литографией. Компанию Юн Сок Ёлю, который стал первым главой государства, посетившим «святая святых» ASML, составили генеральный директор компании Петер Веннинк (Peter Wennink) и король Нидерландов Виллем-Александр (Willem-Alexander).

Китайские хакеры из Chimera более двух лет крали данные нидерландского производителя чипов NXP Semiconductors

Китайская хакерская группа Chimera проникла во внутреннюю сеть нидерландской компании NXP Semiconductors и в течение более двух лет, с конца 2017 по начало 2020 года, занималась кражей интеллектуальной собственности полупроводникового гиганта, сообщает издание NRC. Отмечается, что хакеры успели похитить документы NXP, связанные с разработкой и конструкцией микросхем. Общий масштаб преступления ещё не раскрыт. NXP является крупнейшим производителем микросхем в Европе.

 Источник изображения: NXP Semiconductors

Источник изображения: NXP Semiconductors

Дыра в системе безопасности сети NXP Semiconductors оставалась незамеченной в течение примерно двух с половиной лет. Она была обнаружена только потому, что аналогичная атака произошла на нидерландского авиаперевозчика Transavia, дочку авиакомпании KLM. Хакеры получили доступ к системам бронирования Transavia в сентябре 2019 года. Расследование взлома Transavia выявило связь с IP-адресами NXP, что привело к обнаружению взлома последней. Сообщается, что взлом имеет все признаки, указывающие на хакерскую группу Chimera, а в процессе проникновения во внутреннюю сеть NXP хакеры использовали в том числе разработанный этой группой инструмент ChimeRAR.

Для взлома NXP Semiconductors злоумышленники сначала использовали учётные данные, полученные в рамках предыдущих утечек личной информации сотрудников на таких платформах, как LinkedIn и Facebook, а затем просто подбирали пароли для входа во внутреннюю сеть полупроводниковой компании. Хакеры сумели обойти защитные меры двойной аутентификации, изменив привязанные ко входу в систему мобильные номера. Злоумышленники вели себя очень тихо, не привлекая внимания и каждые несколько недель крали новые документы из базы данных NXP. Полученная информация зашифровывались, а затем публиковалась на онлайн-сервисах облачного хранения вроде Microsoft OneDrive, Dropbox и Google Drive.

NXP Semiconductors является весьма крупным игроком на мировом рынке полупроводников. Производитель микросхем расширил свою долю рынка и влияние после приобретения американской компании Freescale (тоже занимается производством микросхем) в 2015 году. NXP известна разработкой чипов безопасности Mifare для нидерландского общественного транспорта, а также микросхемами безопасности, связанными с iPhone. В частности, NXP принимала участие в разработке аппаратных компонентов системы платежей Apple Pay.

Несмотря на подтверждение кражи своей интеллектуальной собственности, NXP заявляет, что нарушение не привело к материальному ущербу, поскольку украденные данные достаточно сложны, и их нельзя легко использовать для копирования проектов. Вследствие этого компания не видела необходимости информировать об инциденте своих акционеров или широкую общественность.

Сообщается, что после взлома NXP приняла меры по повышению безопасности. Компания усовершенствовала свои системы мониторинга и ввела более строгий контроль за доступностью и передачей данных внутри своей сети. Шаги направлены на защиту от подобных инцидентов в будущем, безопасность ценных интеллектуальных активов, а также поддержку целостности её сети.

Импорт оборудования для производства чипов в Китай в прошлом квартале почти удвоился

Октябрьская статистика в отдельности уже демонстрировала готовность китайских компаний приобретать импортное оборудование для выпуска чипов в любых доступных количествах. Квартальные данные лишь подтвердили эту тенденцию, показав рост затрат на закупку импортного оборудования китайскими производителями чипов на 93 % год к году до $8,7 млрд.

 Источник изображения: ASML

Источник изображения: ASML

Об этом со ссылкой на данные китайских таможенных органов сообщил в начале недели ресурс Nikkei Asian Review. На направлении литографического оборудования, как поясняет источник, импорт увеличился в денежном выражении почти в четыре раза. Непосредственно на нидерландском направлении он вырос в шесть раз, и основным бенефициаром таких явлений должна стать компания ASML, являющаяся крупнейшим поставщиком литографических сканеров в мире. В прошлом квартале, по её собственным данным, Китай уже формировал 46 % её выручки за период. Китайские клиенты буквально сметают то оборудование, которое ещё доступно для закупок в условиях экспортных ограничений США, Нидерландов и Японии. На финансовой статистике данные действия отображаются с некоторой задержкой — на поставку заказанного оборудования может уходить до шести месяцев.

Импорт оборудования для производства чипов из Японии в Китай вырос почти на 40 % по итогам прошлого квартала, если сравнивать с аналогичным периодом предыдущего года. США, которые первыми ввели санкции против полупроводниковой отрасли КНР, увеличили поставки профильного оборудования в эту страну только на 20 %. Если в 2021 году на долю США приходились 17 % китайского импорта, то сейчас этот показатель не превышает 9 %. В случае с Нидерландами он вырос с 15 до почти 30 %, а вот доля Японии снизилась с 32 до 25 %. Во втором квартале текущего года, по данным отраслевой ассоциации SEMI, на Китай пришлось 29 % мировой выручки от реализации оборудования для производства полупроводниковых компонентов.

США опоздали с санкциями: китайский 7-нм чип для Huawei Mate 60 Pro был изготовлен на оборудовании ASML

США активно сотрудничают с Японией и Нидерландами, чтобы запретить Китаю доступ к передовым полупроводниковым технологиям, использованным в 7-нанометровом чипе для Huawei Mate 60 Pro. Китайская компания SMIC, создавшая чип, продемонстрировала производственные возможности, вызвавшие серьёзную озабоченность в США. По информации от инсайдеров, SMIC пользовалась оборудованием ASML в сочетании с инструментами других компаний, что вызвало в Вашингтоне вопросы об эффективности контроля за передовыми технологиями.

 Источник изображений: ASML

Источник изображений: ASML

ASML играет ключевую роль в глобальной цепочке поставок чипов. Она обладает монополией на передовые системы литографии в сверхжёстком ультрафиолете (EUV), которые необходимы для производства самых передовых чипов, а также поставляет литографические сканеры для производства полупроводников по более зрелым техпроцессам.

ASML никогда не могла продавать свои системы EUV-литографии в Китай из-за экспортных ограничений. Но, по мнению отраслевых аналитиков, менее продвинутые системы для литографии в глубоком ультрафиолете (DUV) могут быть переоснащены оборудованием для осаждения и травления для производства 7-нанометровых и, возможно, даже более совершенных чипов.

Безусловно, этот процесс намного дороже, чем непосредственное использование EUV-литографии, что затрудняет масштабирование производства в конкурентной рыночной среде, однако китайское правительство готово взять на себя значительную часть затрат. Китайские компании уже много лет законно накапливают запасы DUV-оборудования, особенно после того, как в прошлом году США ввели экспортный контроль, к которому затем подключили Японию и Нидерланды. Но по словам источников, экспортные ограничения в отношении ASML были введены слишком поздно, чтобы остановить китайские успехи в производстве микросхем.

Давление со стороны США подтолкнуло правительство Нидерландов прошлым летом объявить о планах запретить компании ASML поставлять в Китай без лицензии три из четырёх своих самых передовых моделей машин для DUV-литографии, второй по мощности категории оборудования. В настоящее время ASML по-прежнему может экспортировать эту продукцию в Китай, но с января следующего года поставки будут полностью прекращены.

В этом году китайские производители микросхем увеличили количество заказов на литографическое оборудование в преддверии вступления экспортного контроля в полную силу в 2024 году. На долю Китая пришлось 46 % от всех продаж ASML в третьем квартале по сравнению с 24 % в предыдущем квартале и 8 % в первом.

Новые меры контроля, о которых администрация США объявила в этом месяце, ещё больше ограничивают экспорт оборудования для DUV-литографии. Согласно новым правилам, ASML по-прежнему сможет поставлять лишь свою наименее совершенную машину NXT:1980Di на китайские предприятия, производящие старые чипы. Это затронет поставки ASML на шесть заводов в Китае, включая одно предприятие SMIC. По прогнозам, новые экспортные ограничения в США и Нидерландах снизят поставки оборудования ASML в Китай на 15 %.

Эксперты полагают, что новые ограничения США на поставку оборудования для EUV-литографии теперь соответствуют ограничениям в Нидерландах. Но в вопросе регулирования DUV-машин США пошли дальше, что вызвало недовольство: группа голландских политиков, включая законодателей от двух партий правящей коалиции, призвала своё правительство выступить против новых мер США.

Генеральный директор ASML Питер Веннинк (Peter Wennink) также публично выступил против этих мер и предупредил, что они могут побудить Китай к разработке конкурирующих технологий. «Чем больше вы будете оказывать на них давление, тем больше вероятность, что они удвоят свои усилия», — заявил он.

«Соединённые Штаты провели свой собственный анализ безопасности. Они имеют на это право», — заявила министр внешней торговли Нидерландов Лейсье Шрайнемахер (Leisje Schreinemacher) в парламенте на этой неделе. Она полагает, что Европейский Союз должен играть более важную роль в обсуждениях с США по контролю за экспортом чувствительных технологий и собирается поднять этот вопрос перед премьер-министром Марком Рютте (Mark Rutte) в Брюсселе.

ASML сможет поставлять в Китай оборудование для DUV-литографии до конца 2023 года

Европейский технологический гигант ASML сообщил о получении действующих до конца года лицензий на поставку в Китай литографических систем для производства чипов. Это произошло несмотря на новые экспортные ограничения, которые начнут действовать уже с сентября.

 Источник изображения: ASML

Источник изображения: ASML

Тем не менее ASML не ожидает, что ей дадут экспортные лицензии на поставку в Китай передовых литографических сканеров для выпуска чипов, работающих с глубоким ультрафиолетом (DUV), после января 2024 года, как сообщил в четверг представитель компании.

Нидерландская компания столкнулась с проблемами из-за попыток США ограничить экспорт новейших технологий в Китай — третий по величине рынок для ASML. Администрация президента США Джо Байдена (Joe Biden) настояла на необходимости прекратить поставки в Китай некоторых литографических сканеров, работающих с глубоким ультрафиолетом (DUV), без специальной лицензии.

Эти ограничения начнут действовать с 1 сентября. Компании ASML уже запрещено продавать в Китай оборудование для фотолитографии в экстремальном ультрафиолете (EUV) — самые передовые системы в их линейке. Четырёхмесячный период, начинающийся в сентябре, предназначен для того, чтобы ASML могла выполнить договорные обязательства перед китайскими клиентами.

Ранее ASML выразила уверенность, что введённые запретительные меры не окажут существенное влияние на её финансовый прогноз на текущий год или в более долгосрочной перспективе.

Введение экспортных ограничений подчёркивает нарастающее напряжение в отношениях между Западом и Китаем в сфере высоких технологий. Несмотря на текущие препятствия, ASML продолжает стремиться к выполнению своих договорных обязательств перед китайскими партнёрами, подчёркивая важность этого рынка для её бизнеса.

Новые санкции не остановят рост Китая в полупроводниковой сфере

Нидерланды 30 июня ввели новые ограничения на экспорт передовых технологий для производства полупроводников в Китай. Несмотря на эти и прежние санкции США, Японии и Нидерландов, аналитики предсказывают, что доля китайских полупроводниковых компаний в производстве чипов на 300-мм полупроводниковых пластинах, вероятно, вырастет с 24 % в 2022 году до приблизительно 26 % в 2026 году. Кроме того, если экспорт оборудования для 40-нм и 28-нм техпроцессов в итоге не будет закрыт, то доля Китая вырастет до 28 % к 2026 году. Этот потенциал роста не следует игнорировать.

 Источник изображения: Republica/pixabay.com

Источник изображения: Republica/pixabay.com

Новые ограничения на экспорт затрагивают несколько производственных процессов, включая оборудование для фотолитографию, осаждения и эпитаксии. С 1 сентября экспорт всех контролируемых товаров будет требовать формального разрешения. Исследования показывают, что китайские полупроводниковые компании в основном применяют зрелые технологии, такие как 55 нм, 40 нм и 28 нм. Кроме того, спрос на оборудование для осаждения в основном может быть удовлетворён местными китайскими поставщиками, что означает минимальные опасения в отношении расширения и развития производства полупроводников. Однако основным ограничивающим фактором остаётся оборудование, используемое в фотолитографии.

Фотолитография является исключительно сложным процессом, состоящим из множества этапов. Компании вкладывают миллиарды долларов в его совершенствование с целью производства более мелких, быстрых и энергоэффективных транзисторов.

 Прогнозируемое распределение мировых мощностей по выпуску 12-дюймовых полупроводниковых пластин в 2022 и 2026 годах. Источник изображения: trendforce.com

Прогнозируемое распределение мировых мощностей по выпуску 12-дюймовых полупроводниковых пластин в 2022 и 2026 годах. Источник изображения: trendforce.com

При наихудшем сценарии, первыми пострадают предприятия SMIC в Пекине и Шанхае, а также заводы Nexchip A3/A4 в Хэфэе. Оценки показывают, что заводы Nexchip могут испытать значительно меньшие перебои, поскольку их краткосрочная производственная стратегия сосредоточена на более зрелых технологиях. Напротив, предприятия SMIC в Пекине и Шанхае могут быть вынуждены отложить свои планы по расширению, ожидая разрешения на продолжение поставок оборудования.

Тем временем, Китай ограничил вывоз из страны металлов, критически важных для производства чипов, в качестве ответных мер на его сдерживание со стороны США и их союзников, призвав последних не злоупотреблять санкциями. Как стало известно на днях, американский производитель полупроводниковых пластин, AXT, уже запросил у властей Китая лицензии на покупку галлия и германия.

Санкции США в основном направлены на сдерживание развития Китая в передовых, а не зрелых техпроцессах. Хотя совместные усилия США, Японии и Нидерландов по экспортному регулированию охватывают оборудование, используемое и в новых, и в зрелых техпроцессах, именно оборудование для передовых процессах меньше 45 нм до более передовых, потребует специальных разрешений на экспорт. Поэтому нельзя исключать сложности с поставками оборудования для зрелых техпроцессов в диапазоне от 45 до 28 нм.

Китайские полупроводниковые компании, скорее всего, столкнутся с долгим процессом согласования экспорта оборудования. Это может заставить их отложить планы по расширению процессов 40 и 28 нм. Однако их амбиции на рынке 28-нм техпроцесса обещают сохранить высокие темпы развития. Стоит отметить, что, хотя передовые процессы, вроде решений 10-нм класса, в настоящее время не являются основным фокусом китайских полупроводниковых предприятий, ожидается, что потенциал Китая для дальнейшего развития в этой области столкнётся с усиленными препятствиями при введении более полного регулирования экспорта оборудования.

Лишённый поставок оборудования ASML Китай призвал Нидерланды не злоупотреблять санкциями

Китайская сторона призвала власти Нидерландов не злоупотреблять санкциями, которые могут помешать сотрудничеству двух стран. Соответствующее заявление сделано министерством коммерции КНР.

 Источник изображения: Maxence Pira / unsplash.com

Источник изображения: Maxence Pira / unsplash.com

В китайском министерстве призвали Нидерланды не препятствовать двустороннему сотрудничеству в области полупроводниковой промышленности и не злоупотреблять санкционными мерами. Это заявление было сделано в ответ на вопросы от представителей СМИ. В ведомстве также отметили, что представители двух стран часто обсуждали данный вопрос на разных уровнях.

В минувшую пятницу Правительство Нидерландов объявило о новых ограничениях на экспорт отдельных видов оборудования для полупроводникового производства. Мера должна коснуться литографических сканеров, работающих с глубоким ультрафиолетом (DUV) — они используются для производства уже зрелых компонентов.

Это решение опередило новые санкции США: к концу июля Вашингтон собирается сформировать новые ограничительные меры в отношении Китая и опубликовать список компаний, которые не смогут получить оборудование даже при наличии экспортной лицензии у поставщика.

Обновлено: Нидерланды и США ужесточат ограничения на поставки оборудования для выпуска чипов в Китай

По данным агентства Reuters, власти Нидерландов уже сегодня могут объявить о новых ограничениях на экспорт в Китай ряда литографических сканеров ASML для выпуска чипов, а власти США усугубят этот запрет новыми санкциями, которые вступят в силу в сентябре. Эти скоординированные шаги западных стран направлены на дальнейшее ограничение способности китайских производителей выпускать относительно современные полупроводниковые компоненты. Нидерланды своё заявление на эту тему сделали после появления слухов.

 Источник изображения: ASML

Источник изображения: ASML

Нидерланды в этой сфере даже несколько опередили американские санкции против Китая, которые вступили в силу в октябре прошлого года. Фактически, ещё в 2019 году власти европейской страны запретили поставку в Китай первых для местных клиентов сканеров, способных работать с EUV-литографией. Принятые в октябре прошлого года экспортные ограничения США лишь закрепили данные ограничения в масштабах почти всего мира, поскольку большинство литографического оборудования так или иначе использует технологии или компоненты американского происхождения.

Теперь, как поясняет Reuters, американские чиновники намереваются снизить порог содержания таких компонентов в импортируемом в Китай оборудовании, в результате чего под ограничения могут попасть даже литографические сканеры, работающие с глубоким ультрафиолетом (DUV) и ориентированные на использование достаточно зрелых техпроцессов. Новые требования со стороны США могут быть сформулированы уже к концу июля, а в силу они вступят к сентябрю. Тогда же будут применены и новые ограничения со стороны Нидерландов.

Попутно власти США обозначат и перечень из примерно шести китайских компаний, которым соответствующее оборудование не удастся получить даже при попытке оформить поставщиком экспортную лицензию. Подобные заявки с высокой долей вероятности будут отклоняться. Ожидается, что в этот список точно попадёт SMIC — крупнейший в Китае контрактный производитель чипов. Американские власти в течение лета этого года дополнят экспортные ограничения, которые были введены в октябре, с учётом новых предложений. Возможно, права поставлять свою продукцию в Китай лишится и нидерландская ASM, которая специализируется на нанесении технологических покрытий при обработке кремниевых пластин.

P.S. Уже после появления первоначальной публикации на страницах Reuters министр торговли Нидерландов Лизе Шрайнемахер (Liesje Schreinemacher) заявила, что соответствующие экспортные ограничения будут введены с 1 сентября, и они будут направлены на обеспечение национальной безопасности страны, поскольку поставляемое в недружественные государства оборудование может быть использовано в оборонной сфере. Поставщики оборудования будут вынуждены получать у властей специальные экспортные лицензии, выдача которых не гарантируется. Одновременно был выпущен список оборудования, которое попадает под критерии экспортного контроля.

В случае с ASML речь идёт о литографических сканеров 2000-й серии и более современных, как отметили представители этой компании. Новые санкции не смогут оказать существенного влияния на выручку ASML. По мнению министра торговли Нидерландов, новые ограничения затронут только ограниченное количество компаний и моделей оборудования. Впервые в санкционный список формально попали EUV-сканеры ASML, поскольку ранее их поставки в Китай ограничивались по другим международным соглашениям. По словам чиновницы, властям Нидерландов придётся в свете новых ограничений ежегодно рассматривать не более 20 заявок на получение экспортных лицензий. Китай в тексте официальных документов нигде не упоминается напрямую, но очевидно, что ограничения направлены именно против этой страны.

ASML подтвердила, что не берёт на работу россиян и граждан ряда других стран

Являясь крупнейшим производителем литографических сканеров в мире, нидерландская компания ASML сильно зависит от технологий американского происхождения, а потому власти США могут диктовать ей свои условия в области экспортных ограничений. Нидерландский институт по правам человека постановил, что отказ в найме на работу сотрудников ASML по признаку гражданства не является дискриминацией.

 Источник изображения: ASML

Источник изображения: ASML

Тем самым данная организация подтвердила, что ASML может совершенно правомерно отказывать соискателям при трудоустройстве, если те имеют гражданство Ирана, Сирии, Кубы, Северной Кореи и ещё примерно 20 стран, в число которых входят Россия и Китай. Информацию о таком решении нидерландских надзорных органов опубликовало агентство Reuters. Согласно вердикту Института, сформулированные американскими властями правила иногда действуют за пределами юрисдикции США, и хотя они не выпущены властями Нидерландов, обязывают подчиняться им компанию ASML. Речь идёт о правилах экспортного контроля США, которые не разрешают распространение в Китае, России и некоторых других недружественных странах технологий и оборудования американского происхождения.

Расследование в Нидерландах было проведено по жалобе одной из правозащитных организаций Роттердама, разглядевших в практике найма персонала компанией ASML признаки дискриминации по национальному принципу. Компания, со своей стороны, изначально ответила, что нарушение ею требований американских законов может привести к наложению на неё санкций, которые фактически приведут к остановке всей деятельности. Положительный исход расследования полностью удовлетворил ASML. Сотрудникам компании запрещается иметь гражданство одной из нескольких стран, входящих в санкционный список США. Отказ в приёме на работу может быть сделан даже на основе национальности соискателя.

Нидерланды дополнительно ограничат экспорт машин ASML для выпуска чипов в Китай уже 30 июня

Правительство Нидерландов планирует обнародовать новые экспортные правила, которые дополнительно ограничат возможность экспорта машин для производства чипов в Китай. Ожидается, что соответствующее объявление будет сделано уже на следующей неделе, 30 июня, или в начале июля.

 Источник изображения: ASML

Источник изображения: ASML

По данным источников, фактически в документе не будет упоминаться Китай или ASML, но правила подготовлены таким образом, что ограничат именно экспорт трёх моделей оборудования ASML в Поднебесную. Как сообщает китайский ресурс SCMP, нидерландские законодатели фактически создали шаблон, по которому экспортные ограничения смогут вводить и другие страны ЕС. Как известно, администрация президента США Джо Байдена (Joe Biden) оказывает давление на Нидерланды и прочие страны, чтобы помешать Китаю развивать собственную полупроводниковую промышленность и добиваться успехов в технологиях вроде ИИ и квантовых вычислений. Прежде США ввели и собственные экспортные ограничения, не позволяя продавать в Китай некоторые передовые чипы и оборудование для их производства.

В январе власти Нидерландов и Японии выразили принципиальное согласие на присоединение к американским санкциям — три страны являются лидерами в производстве оборудования для выпуска чипов и обладают большим опытом выпуска полупроводников. ASML — одна из самых ценных технологических компаний ЕС. Ранее она заявляла, что ограничительные меры не окажут значительного влияния на её финансовые показатели в 2023 году или в долгосрочной перспективе. Для поставки некоторых типов оборудования компании придётся обращаться к властям за экспортными лицензиями.

Ожидается, что ограничения не позволят поставлять в Китай машины для производства чипов с использованием литографии в глубоком ультрафиолете (DUV): TWINSCAN NXT: 2000i, NXT: 2050i и NXT: 2100i. Ранее ASML уже запретили продажи оборудования для передовой EUV-литографии (в сверхжёстком ультрафиолете) китайским компаниям. По мнению местного министерства торговли, потеря платёжеспособного клиента «необходима для обеспечения национальной и международной безопасности» и технологии нужно взять под контроль так быстро, насколько это возможно. Впрочем, принимаемые меры всё равно мягче тех, которые уже ввели США в прошлом году.

Как известно, правительство Нидерландов подвергается значительному давлению со стороны США для организации глобальной блокады Китая в некоторых сферах, для того чтобы помешать стремительно развивающейся китайской полупроводниковой отрасли. При этом Китай является одним из главных клиентов ASML.

В самой компании неоднократно заявляли, что подобные санкции только подтолкнут Китай к ускоренному развитию собственных полупроводниковых технологий, но, похоже, их доводы не были услышаны. Известно, что очередной пакет законодательных инициатив ЕС предлагает усилить контроль за деятельностью европейских компаний на территории КНР. По традиции авторы инициативы заявляют, что меры не направлены против какой-то одной конкретной страны.


window-new
Soft
Hard
Тренды 🔥
Основателя Binance приговорили к четырем месяцам тюрьмы — биткоин отреагировал падением 4 ч.
Nvidia обновила RTX Remix, добавив реконструкцию лучей из пакета DLSS 3.5 6 ч.
Google заблокировала 2,28 млн небезопасных приложений в магазине «Play Маркет» в прошлом году 7 ч.
Safari научится навсегда «стирать» нежелательный контент с веб-страниц и получит ИИ-функции 8 ч.
Microsoft удалила автоматически установившийся Copilot из Windows 11 8 ч.
В Steam неожиданно открылись предзаказы Senua's Saga: Hellblade II — игра доступна и в России, но, вероятно, по ошибке 8 ч.
Tomb Raider: Definitive Edition, Brothers: A Tale of Two Sons и кот в большом городе: Microsoft рассказала о первых новинках Game Pass в мае 9 ч.
Бывший сотрудник Rockstar раскрыл секрет полицейских-экстрасенсов в GTA: Vice City 9 ч.
Instagram начнёт продвигать оригинальный контент и бороться с серийными репостерами 11 ч.
Microsoft поделилась первыми подробностями Xbox Games Showcase 2024 и показала тизер презентации новой игры в «полюбившейся серии» 11 ч.