Сегодня 19 марта 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Offсянка

Сверхжёсткий ультрафиолет (EUV) в полупроводниковой литографии: сложно, долго, дорого — и необходимо

⇣ Содержание
 Главное зеркало серийного EUV-литографа проходит испытания на необходимую гладкость поверхности (источник: Fraunhofer Institute)

Главное зеркало серийного EUV-литографа проходит испытания на необходимую гладкость поверхности (источник: Fraunhofer Institute)

В мае 2003 г. Intel, к изрядному изумлению многих экспертов полупроводниковой отрасли, объявила, что не намерена более дожидаться появления на своих производствах литографических машин с длиной волны рабочего лазера 157 нм. Вместо того чтобы, как предполагалось прежде, в 2005-м выйти на производственную норму 65 нм именно с помощью 157-нм агрегатов, ведущий на тот момент глобальный чипмейкер решил, что стимулирует своих партнёров — поставщиков оборудования для фотолитографии — и дальше дорабатывать уже прекрасно отлаженные DUV-установки с 193-нм лазерами (также из области глубокого ультрафиолетового излучения, но чуть более длинноволновыми).

Как раз в том же 2003 г. DUV-машины уже успешно справлялись с изготовлением СБИС по 90-нм техпроцессу. Перед инженерами компаний ASML, Canon и Nikon, где разрабатывались эти агрегаты, была поставлена задача модифицировать их так, чтобы освоить 65-нм, а затем и 45-нм производственные нормы. Что же до 32-нм техпроцесса, переход на который Intel планировала тогда на 2009 г., для его реализации рассматривались различные варианты, среди которых наиболее перспективными (напомним, дело происходит в 2003 г.) представлялись машины с излучением в области сверхжёсткого, или экстремального, ультрафиолета (EUV).

 Фрагменты EUV-установки настолько массивны, что для перемещения их по «чистой» сборочной комнате в ASML используют специальный колёсный робот компании KUKA Robotics (источник: MIT)

Фрагменты EUV-установки настолько массивны, что для перемещения их по «чистой» сборочной комнате в ASML используют специальный колёсный робот компании KUKA Robotics (источник: MIT)

Как мы теперь знаем, с задачей доработки DUV-литографии вплоть до маркетинговых «7 нм» по крайней мере одна из перечисленных компаний—поставщиков чипмейкерского оборудования, голландская ASML, справилась на отлично. В то же время технология EUV (длина волны рабочего излучения — 13,5 нм), хотя и развивалась в лабораториях с начала 1990-х, смогла воплотиться в коммерческих машинах для серийного производства СБИС лишь в самом конце 2010-х. А вот преодолеть весь ворох проблем 157-нм фотолитографии, неохватность которого Intel как заказчик литографических установок осознала ещё в 2003 г., инженеры так до сих пор и не сумели — по крайней мере, вне лабораторных стен, в приложении к серийному полупроводниковому производству.

По какой же причине разработчикам чипмейкерских агрегатов, так здорово справившимся с отладкой 193-нм полупроводниковой фотолитографии, на пути к следующей технологической вехе проще оказалось перешагнуть через 180 нм, чем через 36 нм, — даже с учётом того, что шаг этот затянулся почти на два десятка лет?

#Цена вопроса

Первая серийная EUV-установка ASML TWINSCAN NXE:3400B, до сих пор применяемая для реализации техпроцессов «7 нм» и «5 нм» и штатно способная создавать на поверхности кремниевой пластины полупроводниковые структуры с дистанцией между соседними затворами (gate pitch — реальное разрешение) 13 нм, тянет на 180 тонн, состоит более чем из 100 тыс. узлов и компонентов и потребляет более 1 МВт электрической мощности. Разработчик анонсировал её готовность к серийному производству в 2017-м.

 Важный, громоздкий, но ещё не самый сложный в изготовлении компонент EUV-машины — источник 13,5-нм излучения — в «чистой» сборочной комнате компании ASML (источник: MIT)

Важный, громоздкий, но ещё не самый сложный в изготовлении компонент EUV-машины — источник 13,5-нм излучения — в «чистой» сборочной комнате компании ASML (источник: MIT)

Она обходилась заказчикам на старте продаж примерно в 120 млн долл. США, тогда как более современная модель TWINSCAN NXE:3600D, что используется для выпуска «5-нм» и «3-нм» СБИС, в наши дни стоит практически вдвое дороже. DUV-агрегаты же доступны сегодня по 40-60 млн долл. за единицу — и одно это уже немало говорит о качественном разрыве в масштабах проблем, которые пришлось решать разработчикам EUV-оборудования.

Исходно, в самом начале 2000-х, сделанная Intel и другими чипмейкерами ставка на скорое появление серийных DUV-машин с 157-нм лазерами вполне оправданна с экономической точки зрения. Теоретически для работы с этим когерентным излучением, длина волны которого всего-то на 36 нм меньше, должны подходить те же оптические системы, что и для 193-нм лазеров. Казалось, инженерам придётся решить лишь ряд чисто технических проблем, связанных с более сильным поглощением 157-нм излучения, — и новые литографические машины быстро сменят 193-нм предшественниц на переднем крае микропроцессорного фронта.

 Шлифовка оптических компонентов для полупроводниковой литографии на специальных станках с применением особых абразивов — многостадийный процесс, который может продолжаться неделями и требует на выходе нанометрового контроля гладкости получившихся поверхностей (источник: MIT)

Шлифовка оптических компонентов для полупроводниковой литографии на специальных станках с применением особых абразивов — многостадийный процесс, который может продолжаться неделями и требует на выходе нанометрового контроля гладкости получившихся поверхностей (источник: MIT)

И всё же быстро перейти от 193-нм аргон-фторидных (ArF) к 157-нм лазерам на молекулярном фторе (F2) так до сих пор и не удалось. Дело даже не в поиске материала для линз, который уверенно пропускал бы значительный поток 157-нм излучения: в этой роли довольно хорош кристаллический флюорит (CaF2), хотя у него есть проблемы с двойным лучепреломлением, а выращивание подходящего для изготовления линзы кристалла занимает до 3 месяцев.

Один из главных кошмаров 157-нм фотолитографии, от которого разработчики не сумели толком избавиться до сих пор, — чрезмерно высокая энергия фотонов такого излучения. Как мы увидим чуть позже, ещё более высокоэнергичные EUV-фотоны также портят создающим литографические установки инженерам немало крови. Но в случае с рефрактивной оптической системой ситуация попросту безнадёжная.

 Линзы из флюорита широко применяются в инфракрасной и ультрафиолетовой оптике, поскольку полоса его пропускания значительно шире, чем у силикатного стекла (источник: UQG Optics)

Линзы из флюорита широко применяются в инфракрасной и ультрафиолетовой оптике, поскольку полоса его пропускания значительно шире, чем у силикатного стекла (источник: UQG Optics)

Загвоздка в том, что луч 157-нм лазера, падая на покрытую фоторезистом пластину-заготовку, неизбежно вышибает фрагменты молекул органического фоторезиста на значительную высоту (даже с учётом сопротивления жидкости, если использовать иммерсионную литографию). В итоге те осаждаются на ближней к заготовке фокусировочной линзе — и меняют её оптические свойства, нарушая тем самым корректность хода лучей и приводя в негодность экспонируемую заготовку. А увеличивать расстояние между линзой и заготовкой нельзя: сократится численная апертура оптической системы — невозможно будет достигать необходимого масштаба разрешения.

Ещё один тонкий момент: всем известно, что литографические машины работают в «чистых комнатах», воздух в которых путём многократной очистки доведён до уровня содержания пылинок характерным размером 0,5 мкм (500 нм) 10 единиц и менее, размером 300 нм — 30 единиц и менее, 200 нм — 75 и менее, 100 нм — 350 и менее. Чем миниатюрнее производственная норма, тем жёстче этот допуск. Внутри же литографических машин поддерживается ещё более свободная от примесей атмосфера.

 Турбомолекулярные насосы, откачивающие воздух из оптического тракта EUV-машины, могли бы пригодиться и для 157-нм DUV-агрегата: они способны удалять из вакуумируемого объёма даже отдельные молекулы (источник: MIT)

Турбомолекулярные насосы, откачивающие воздух из оптического тракта EUV-машины, могли бы пригодиться и для 157-нм DUV-агрегата: они способны удалять из вакуумируемого объёма даже отдельные молекулы (источник: MIT)

Так вот, для 157-нм лазера недопустимо присутствие в воздухе не только пылинок, но и сколько-нибудь значимых концентраций так называемых газов-загрязнителей: кислорода, двуокиси углерода, водяного пара. А всё потому, что 157-нм излучение поглощается этими веществами на три десятичных порядка сильнее, чем 193-нм. То есть присутствие молекул этих, в общем-то, совершенно нормальных для атмосферного воздуха газов внутри литографической установки должно исчисляться менее чем одной миллиардной долей от общего числа молекул, заполняющих внутренность оптического тракта 157-нм агрегата (обычно используется азот).

С EUV ситуация принципиально иная, поскольку сверхкоротковолновое УФ-излучение поглощается практически без остатка тончайшим слоем любого стекла. Это делает бессмысленным применение рефрактивной (пропускающей) оптической схемы. Вместо линз, через которые свет проходит, приходится использовать рефлективную (отражающую) схему с искривлёнными зеркалами, что, конечно, усложняет задачу разработчикам — но в то же время избавляет тех от необходимости пытаться наливать, что называется, молодое вино в старые мехи.

 Смонтированное в оправе зеркало для EUV-фотолитографа второго поколения (технологическая норма «3 нм») (источник: Zeiss)

Смонтированное в оправе зеркало для EUV-фотолитографа второго поколения (технологическая норма «3 нм») (источник: Zeiss)

Как показала история последних двух десятков лет развития микропроцессорных технологий, с экономической точки зрения разумным выбором стало направить немалые средства на развитие перспективной 13,5-нм EUV-литографии параллельно с непрекращающимся поступательным совершенствованием DUV-процесса на лазерах с длиной волны 193 нм. Именно таким образом фактически одновременно, в 2018-2019 гг., на рынок вышли микросхемы, изготовленные TSMC по «7-нм» (с реальной шириной полупроводникового гребня FinFET-транзистора 6 нм) техпроцессу на DUV-машинах — и выполненные Samsung также по «7-нм» (с длиной транзисторного затвора 8-10 нм) технологической норме на EUV-литографах.

#Технологии в отражении

Читателям предыдущего материала о трудностях и достижениях полупроводниковых производств наверняка памятна главная формула оптической литографии, увязывающая минимальный физически достижимый — только оптическими средствами, без дальнейших ухищрений вроде LELE или SAMP, — масштаб детализации итогового изображения (critical dimension, CD), длину волны используемого для экспонирования щели излучения (λ) и интегральную характеристику применяемой оптической системы (её численную апертуру, numeric aperture, NA):

CD = k*(λ/NA)

Безразмерные параметры k и NA для реальных систем, как было показано, в целом близки к единице, и лишь неимоверными усилиями инженеров и оптиков их удаётся необходимым образом от этого значения отклонить (первый — уменьшить, второй — увеличить), чтобы выйти на соотношение CD ≈ λ/2. Вроде бы ясно, что сокращать длину волны применяемого для экспонирования фоторезиста излучения — наиболее простой и верный путь для получения всё более миниатюрных деталей на поверхности полупроводниковой заготовки.

 Лазерная техника давно применяется в оптике для дефектоскопии на сверхмалых масштабах, — вот почему источники когерентного излучения так быстро и прочно вошли в область фотолитографии (источник: Fraunhofer Institute)

Лазерная техника давно применяется в оптике для дефектоскопии на сверхмалых масштабах — вот почему источники когерентного излучения так быстро и прочно вошли в область фотолитографии (источник: Fraunhofer Institute)

Так что изначально, когда работа над 193-нм фотолитографией лишь начиналась, логично было рассматривать 157-нм источники когерентного излучения как следующий этап эволюционной миниатюризации производственного процесса. Но по мере приближения масштаба детализации СБИС к пределу λ/2 для 193-нм лазеров всё яснее становилось, что копящийся ворох проблем с осаждением молекул фоторезиста на ближней к образцу линзе и необходимостью глубокой очистки газовой среды внутри оптического тракта делает фактически невозможным «дешёвую» (с минимальными затратами на переоборудование литографических машин) смену источников излучения на чуть более коротковолновые.

Тем более, напомним, ещё в 2003 г. ведущие разработчики полупроводниковых технологий были уверены, что в течении 5-6 лет не составит особого труда довести до стадии массового коммерческого применения заведомо более перспективную EUV-технологию. Действительно, схема отражательного оптического тракта для фотолитографа, использующего не ультрафиолет даже, а по сути мягкий рентген, на первый взгляд вовсе не запредельно сложна.

 Оптический тракт простейшего EUV-фотолитографа включает генератор плазмы с коллектором первичного её излучения (справа внизу), трёхзеркальное плечо засветки фотомаски, саму фотомаску (также отражающую) и проекционное плечо из 6 зеркал, перенаправляющее свет на покрытую фоторезистом пластину-заготовку (источник: SPIE)

Оптический тракт простейшего EUV-фотолитографа включает генератор плазмы с коллектором первичного её излучения (справа внизу), трёхзеркальное плечо засветки фотомаски, саму фотомаску (также отражающую) и проекционное плечо из 6 зеркал, перенаправляющее свет на покрытую фоторезистом пластину-заготовку (источник: SPIE)

Да, там имеются порядка 10 зеркал, но из EUV-коллектора выходит фактически параллельный пучок лучей, и в ходе множественных переотражений на зеркалах сложной формы он практически не рассеивается. Значит, потерь на поглощение света внутри линз нет за их отсутствием, потерь на рассеяние тоже почти нет, — можно приступать к экспонированию заготовки через маску. Но если бы всё было так просто!

Инженеры, двинувшись по «дорогому», революционному пути резкого сокращения длины волны применяемого для фотолитографии излучения, начали обнаруживать, что один только переход от линз к зеркалам ставит перед ними кардинально более сложные, чем прежде, задачи. Ну, например: что это значит на практике — сделать отражающее сверхжёсткий ультрафиолет зеркало? Проблема в том, что излучение с длиной волны 13,5 нм крайне плохо отражается практически любым веществом, даже если его отполировать до необходимой гладкости в одну восьмую долю длины волны (критерий Рэлея).

 Отдельная проблема при изготовлении зеркал для EUV — контроль качества их поверхности: допуск в 1/8 длины волны здесь не превышает 1,7 нм (источник: Zeiss)

Отдельная проблема при изготовлении зеркал для EUV — контроль качества их поверхности: допуск в 1/8 длины волны здесь не превышает 1,7 нм (источник: Zeiss)

Основная неприятность для инженеров, реализующих приведённую выше многозеркальную схему, заключается в том, что абсолютных отражателей — особенно в мягком рентгеновском диапазоне длин волн, к которому фактически примыкает интересующая нас полоса 13,5 нм, — не существует. И обусловлено это как раз чрезвычайно высокой энергией фотонов сверхжёсткого ультрафиолетового излучения.

Классическая оптика имеет дело с электромагнитными волнами из видимого человеческим глазом диапазона. И здесь любой контакт светового потока с границей двух сред, показатели преломления которых различаются, порождает два пучка: отражённый и поглощённый. Показатель преломления по классическому определению, напомним, — это отношение скорости распространения света в данной среде к скорости света в вакууме.

 Луч света I падает из бесконечности в среде с показателем преломления n1 на плоскую границу раздела со средой с показателем преломления n2 под углом θi к нормали, после чего разделяется на отражённый луч R и преломлённый Т (источник: Wikimedia Commons)

Луч света I падает из бесконечности в среде с показателем преломления n1 на плоскую границу раздела со средой с показателем преломления n2 под углом θi к нормали, после чего разделяется на отражённый луч R и преломлённый Т (источник: Wikimedia Commons)

Правда, определёнными усилиями можно добиться того, чтобы поглощённая отражающим веществом доля энергии светового потока была пренебрежимо мала в сравнении с отражённой. Так, в последние годы идёт активная работа над созданием «идеального зеркала» на основе фотонных кристаллов (обеспечивают 100%-е отражение и, соответственно, 0%-е поглощение на определённой длине волны и под определённым углом), но стен лабораторий подобные отражатели ещё долгое время не покинут.

Известный любому аквариумисту феномен полного внутреннего отражения для EUV-фотолитографии тоже вряд ли удастся задействовать: он срабатывает лишь в случае, когда свет из более плотной среды пытается перейти в менее плотную, да ещё и не под любыми углами. В принципе, заполнить оптический тракт некой прозрачной жидкостью с высоким показателем преломления (выше, чем у материала зеркал) возможно, но — речь, напомним, идёт о EUV-излучении, которое поглощается практически чем угодно. Так что до фотомаски и тем более до нанесённого на кремниевую пластину фоторезиста оно в таком случае точно не дойдёт.

 Благодаря полному внутреннему отражению свет распространяется в оптоволокне, почти не рассеиваясь и не затухая (источник: Pixabay)

Благодаря полному внутреннему отражению свет распространяется в оптоволокне, почти не рассеиваясь и не затухая (источник: Pixabay)

Кстати, оптические тракты действующих сегодня EUV-машин вакуумированы именно по этой причине: даже инертный газ вроде неона — непреодолимое препятствие для 13,5-нм излучения.

#Как рентгенолог рентгенологу

Хорошие отражающие свойства множества материалов — гладко отполированного металла, стекла, даже ровной поверхности жидкости — в случае длинноволнового излучения (оптика, ИК, микроволны и далее) обусловлены тем, что фотоны такого света сравнительно низкоэнергичны. Им в известном смысле «проще» отражаться от преграды (как теннисному мячику отскакивать от кирпичной стенки), взаимодействуя со структурными элементами — кристаллическими решётками, если речь идёт о твердотельных зеркалах, — на границе раздела сред с разными показателями преломления. Даже для DUV-излучения (190-195 нм) изготавливают зеркала из алюминия, рефлективные свойства которого усилены особым диэлектрическим покрытием (DUV enhanced aluminium), способные отражать в среднем 88% падающего на них света: это более чем приемлемый с технологической точки зрения показатель.

Специфика же свержёсткого УФ и тем более рентгеновского излучения (формальная граница между ними находится на отметке 10 нм — вот почему EUV-литографы это по сути рентгеновские аппараты) в том, что столь высокоэнергичные фотоны слишком мощны, чтобы, провзаимодействовав с веществом на границе раздела, эффективно от неё отразиться. Им как раз «проще» проникнуть ещё глубже (словно пуле в ту же самую кирпичную стенку), преодолевая сопротивление более плотной среды, — и либо пройти образец насквозь, либо поглотиться, передав свою энергию его веществу.

 Отражающая фотомаска (тёмно-серый прямоугольник чуть выше центра снимка), смонтированная в держателе внутри EUV-литографа (источник: MIT)

Отражающая фотомаска (тёмно-серый прямоугольник чуть выше центра снимка), смонтированная в держателе внутри EUV-литографа (источник: MIT)

Взятое в кавычки слово «проще» в этом описательном пояснении имеет вполне строгий физический смысл — как отражение явления дисперсии, или зависимости показателя преломления от длины волны. Для видимого диапазона электромагнитного излучения дисперсия иллюстрируется каноническим опытом Ньютона с разложением светового потока от Солнца через призму на веер окрашенных лучей: фиолетовые (более коротковолновые) при прохождении через стекло отклоняются сильнее, красные (более длинноволновые) — слабее.

Казалось бы, какое отношение дисперсия имеет к EUV-фотолитографии, если там специальным образом создаётся строго монохроматическое излучение с длиной волны 13,5 нм? Но в том-то и дело, что при переходе от видимого света через УФ к рентгену становится необходимым корректнее учитывать взаимодействие электромагнитной волны с веществом, и классическая Ньютонова дисперсия как раз позволяет предположить, что со сверхкоротковолновым излучением всё будет совсем не просто.

 Небо Земли голубое как раз благодаря явлению дисперсии: солнечные лучи содержат все длины волн видимого спектра, однако коротковолновая их компонента рассеивается в стороны от линии «Солнце — глаз наблюдателя» наиболее интенсивно, «окрашивая» видимый небосвод в оттенки синего (источник: Unsplash)

Небо Земли голубое как раз благодаря явлению дисперсии: солнечные лучи содержат все длины волн видимого спектра, однако коротковолновая их компонента рассеивается в стороны от линии «Солнце — глаз наблюдателя» наиболее интенсивно, «окрашивая» видимый небосвод в оттенки синего (источник: Unsplash)

Вещество состоит из структурных элементов — атомов, молекул — по размерам вполне сопоставимых с длиной волны электромагнитного EUV-потока. Притом сами эти элементы представляют собой диполи — пары взаимоувязанных разноимённых электрических зарядов (положительно заряженное атомное ядро плюс отрицательно заряженные электронные орбитали), и диполи эти сами порождают электрические поля, которые, в свою очередь, воздействуют на входящий в среду поток EUV-излучения. Да, именно так: диполь электрически нейтрален — положительный и отрицательный заряды в нём взаимно скомпенсированы, — но поле он тем не менее вокруг себя создаёт.

Распространяясь в любой среде, кроме идеального вакуума, электромагнитная волна наводит вынужденные колебания на формирующие эту среду диполи — и передаёт тем часть своей энергии. Колебания исходной волны и наведённых вторичных волн сложным образом складываются, образуя волновой пакет, в котором уже приходится различать фазовую и групповую скорости волн. Иными словами, подлинно монохроматическим (с неизменной частотой) поток электромагнитных волн может оставаться лишь в идеальном вакууме — а при распространении даже в самой разрежённой среде (включая реальный вакуум, о котором разговор вообще отдельный) спектр его непременно размывается.

Волна, распространяющаяся в среде с дисперсией и без неё (источник: ISVR)

Изучая показатели преломления для видимого света в прозрачных средах, дисперсией в целом можно пренебречь, хотя и там нередко она становится аномальной (когда с ростом частоты показатель преломления снижается — вместо того чтобы увеличиваться). Но для жёсткого сверхкоротковолнового излучения это явление приходится методично учитывать явным образом. Показатель преломления в этом случае определяется как безразмерная физическая величина, характеризующая различие фазовых скоростей световой волны в двух средах — и становится комплексным числом:

n = 1 – δ – iβ

Мнимая часть с коэффициентом затухания β отвечает как раз за поглощение на данной длине волны, а действительная часть (1 – δ) соответствует классическому пониманию показателя преломления. Очевидно, что в среде этот показатель не может быть меньше, чем в идеальном вакууме (т. е. ровно 1,0), так что безразмерная величина δ для каждой среды обязана оказываться отрицательной. Так и выходит, причём для подавляющего большинства сред в случае высокоэнергичного коротковолнового излучения δ чрезвычайно мала — исчисляется по модулю буквально миллионными долями единицы (10–5—10–6).

 Как раз благодаря возможности отдельных фотонов рентгеновского излучения рассеиваться на отдельных же атомах и молекулах, тогда как основной поток свободно проходит сквозь образец, кристаллографам доступен метод регтгеноструктурного анализа (источник: Imperial College London)

Как раз благодаря способности отдельных фотонов рентгеновского излучения рассеиваться на отдельных же атомах и молекулах, тогда как основной поток свободно проходит сквозь образец, кристаллографам доступен метод рентгеноструктурного анализа (источник: Imperial College London)

Именно поэтому рентген и соседствующий с ним сверхжёсткий ультрафиолет практически не рассеиваются, проходя сквозь материальные преграды, — так что медицинские, скажем, рентгеновские аппараты по сути не нуждаются в средствах фокусировки (тех же зеркалах): изображение и так выходит чётким, с минимальной, едва различимой дифракцией на краях объектов.

#Bragg me about it

Но для реализации полупроводниковой фотолитографии необходимо всё-таки добиться, чтобы EUV-излучение отражалось от зеркал хотя бы с минимально разумной эффективностью. Коэффициент отражения R — иными словами, долю энергии, отражённой от раздела двух сред с показателями преломления n1 и n2 , при нормальном (перпендикулярном к плоскости этого раздела) падении пучка света, — определяет известная формула Френеля:

R = (|n1 n2| / |n1 + n2|)2

Обычно, иллюстрируя эту формулу, рассматривают видимый свет, для которого n1 = 1,0 (в воздухе), а n2 = 1,5 (в условном стекле, поскольку разные сорта стекла имеют разные показатели преломления), получая в итоге R = 0,04, или 4%. Если стеклянная пластинка достаточно тонкая, имеет смысл учитывать отражение и от второй её плоскости, что даст примерно ту же величину (поглощением света в тонком слое можно пренебречь).

 Древнеегипетское бронзовое зеркало: Среднее Царство, ок. 2040-1750 г. до н. э. (источник: Christie's)

Древнеегипетское бронзовое зеркало: Среднее Царство, ок. 2040-1750 г. до н. э. (источник: Christie's)

Итого — примерно 8%. Негусто, конечно, — именно поэтому зеркала человечество сперва изготавливало цельнометаллические (коэффициент отражения от полированного металла значительно выше), а затем нашло способ амальгамировать стеклянные пластины — наносить на оборотную их сторону тонкий слой хорошо отражающего металла; сперва серебра, а ближе к нашим дням — алюминия. Но это для видимого света, а как обстоят дела с мягким рентгеном/сверхжёстким УФ?

Здесь ситуация могла бы (если бы не одна оговорка, о которой речь пойдёт чуть ниже) описываться как катастрофическая. Для молибдена, который лучше прочих доступных инженерам веществ отражает излучение с длиной волны 13,5 нм, вещественная часть комплексного показателя преломления, δ, составляет –0,076, а мнимая, β, едва дотягивает до 0,0064. Для идеального вакуума, разумеется, δ = β = 0, и потому коэффициент отражения R, рассчитанный для отвесного падения EUV-луча на молибденовое зеркало по формуле Френеля с использованием комплексных показателей преломления, составляет жалкие 0,14%.

С точки зрения организации производственного процесса это полный провал: если в оптическом тракте на каждом из 10 зеркал будет последовательно поглощаться 99,86% падающего излучения, до фоторезиста в лучшем случае будут изредка долетать отдельные фотоны. И если бы электромагнитное излучение представляло собой только поток частиц, на этом попытки использовать экстремальный ультрафиолет для полупроводниковой фотолитографии можно было бы и оставить — за полной их бесперспективностью.

 Нет ничего проще, чем конструктивная интерференция: две волны, совпадающие по фазе, складываются — и взаимно усиливают одна другую (источник: Angstrom Engineering)

Нет ничего проще, чем конструктивная интерференция: две волны, совпадающие по фазе, складываются — и взаимно усиливают одна другую (источник: Angstrom Engineering)

К счастью, природа света дуалистична, и на помощь разработчикам EUV-аппаратуры пришло такое волновое явление, как конструктивная интерференция. Да-да, та самая, с паразитным влиянием которой в DUV-фотолитографии борются при помощи фазосдвигающих масок. Тут всё довольно просто: световые волны от общего монохроматического источника по сути идентичны и потому, накладываясь, усиливают одна другую, если колеблются в фазе (синфазно) — т. е. если минимумы и максимумы у каждой из них совпадают.

Сам по себе источник света в EUV-машине не порождает синфазное излучение — чуть ниже мы упомянем, как он работает и с какими трудностями приходится сталкиваться инженерам, чтобы получить этот самый 13,5-нм поток. Однако — вот удача! — именно при отражении есть шанс отобрать заведомо совпадающие по фазе волны, если устроить зеркало особым образом. «Тот, кто нам мешает, тот нам и поможет» — практически девиз инженерного подхода и к EUV-литографии тоже.

 Фаза волны, отражённой от границы раздела сред, остаётся неизменной или сдвигается на π/2 в зависимости от соотношения коэффициентов преломления (источник: Georgia State University)

Фаза волны, отражённой от границы раздела сред, остаётся неизменной или сдвигается на π/2 в зависимости от соотношения коэффициентов преломления (источник: Georgia State University)

Как известно, если световая волна отражается от границы с веществом, показатель преломления которого больше, чем у первичной среды распространения, фаза отражённого луча меняется на π/2. И наоборот, если исходно луч шёл в среде с бóльшим показателем преломления и отразился от границы, за которой лежит вещество с меньшим n, фаза такой световой волны останется неизменной.

На этом простом принципе можно построить достаточно сложную структуру — тонкоплёночное брэгговское зеркало, названное так по аналогии с законом Брэгга, выведенным ещё в 1913 г. в ходе первых детальных исследований структуры кристаллов с помощью рентгеновских лучей. Такое зеркало будет состоять из чередующихся слоёв сред с относительно высоким и низким показателями преломления, например молибдена (вещественная часть n, 1 δ, равна 1,076) и кремния (1 δ = 1,001). Толщина каждого слоя — примерно ¼ длины волны используемого излучения (в нашем случае 13,5 нм разделить на четыре ≈ 3,4 нм).

 Принцип действия тонкоплёночного брэгговского зеркала из чередующихся слоёв с высоким и низким показателями преломления в четверть длины волны каждый (источник: Manx Precision Optics)

Принцип действия тонкоплёночного брэгговского зеркала из чередующихся слоёв с высоким и низким показателями преломления в четверть длины волны каждый (источник: Manx Precision Optics)

Если точнее, то надо принимать в расчёт и комплексный характер значений показателей преломления обеих сред, и неперпендикулярность падения лучей из состава пучка на отражающие поверхности, и даже кривизну зеркал. Иными словами, при изготовлении таких отражателей необходимо выдерживать нанометровую точность по строго заданному шаблону на вполне макроскопических масштабах в десятки сантиметров. Неудивительно, что каждое отдельное зеркало из оптического тракта EUV-литографа по цене сопоставимо с новеньким спорткаром.

Что же происходит в такой многослойной структуре со световым лучом? Он падает на первый слой молибдена и едва-едва, на те самые 0,14% от общей своей интенсивности, отражается от него, меняя фазу на π/2. Далее луч отражается от границы молибден-кремний без изменения фазы, однако, поскольку он преодолел толщу первого слоя λ/4 (где λ = 13,5 нм в нашем случае), фаза к этому моменту успела сдвинуться (относительно той, что была на внешней границе первого молибденового слоя) на ¼. Итого от первой границы молибден-кремний отразится небольшая порция исходного луча, пройдёт в обратную сторону ту же четвертьволновую толщу молибдена (т. е. ещё +¼ длины волны) — и выйдет в окружающий вакуум с тем же сдвигом фазы на π/2, что и первая отражённая порция. И так далее.

 Для создания EUV-машин с высокой численной апертурой NA применяются пары асферических брэгговских зеркал, точное взаимное расположение которых контролирует блок прецизионных электромеханических актюаторов (источник: Laser Focus World)

Для создания EUV-машин с высокой численной апертурой NA применяются пары асферических брэгговских зеркал, точное взаимное расположение которых контролирует блок прецизионных электромеханических актюаторов (источник: Laser Focus World)

В результате, если наложить должным образом один на другой 40-50 чередующихся слоёв молибдена и кремния, за счёт интерференционного взаимоусиления выходящих в одной и той же фазе слабеньких по интенсивности волн удастся добиться 70%-ного коэффициента отражения брэгговского зеркала как целого на длине волны 13,5 нм. Ещё лучший результат показывают молибден-бериллиевые брэгговские зеркала, но из-за высокой токсичности бериллия их на практике не применяют. Величина в 70% в любом случае бесспорно выдающаяся — если учесть, что начиналось всё с 0,14% для полированной молибденовой болванки.

Казалось бы, вот оно, инженерное счастье, — осталось лишь подставить под этот мощный световой поток маску и покрытую фоторезистом заготовку!

#Стрижка только начата

Не тут-то было: оптическая схема EUV-установки включает по меньшей мере десяток сферических и асферических зеркал, необходимых для того, чтобы и на фотомаску, и на мишень (пластину-заготовку) поток излучения падал строго параллельно и под чётко определёнными углами — иначе не выйдет добиться равномерной выдержки масштаба литографирования по всей немалой экспонируемой площади.

 Плечо засветки фотомаски (один из фрагментов оптического тракта) в сборе, готовое для установки в EUV-машину; масса — 1,5 тонны (источник: Zeiss)

Плечо засветки фотомаски (один из фрагментов оптического тракта) в сборе, готовое для установки в EUV-машину; масса — 1,5 тонны (источник: Zeiss)

А раз брэгговских зеркал 10, и каждое из них отражает 0,7 интенсивности падающего на него потока, до мишени в итоге доберётся 0,7*0,7*…*0,7 = 0,710 ≈ 0,0282, т. е. 2,82% изначальной мощности светового пучка. Это, конечно, лучше, чем 0,14% от одиночного молибденового зеркала, но всё равно скудновато.

Главная же неприятность, напомним снова, в том, что сверхжёсткие УФ-лучи крайне высокоэнергичны. Значит, если безоглядно усиливать мощность излучателя, в тепло будет уходить всё больше попадающей на зеркала энергии; из-за термических деформаций форма их неизбежно исказится — и фокусировка нарушится, не давая возможности литографировать чипы с необходимым уровнем детализации. Безусловно, оптическую систему EUV-литографа активно охлаждают, но ни проще, ни дешевле это установку не делает.

 Установка EUV-фотомаски в держатель: ручная работа! (источник: MIT)

Установка EUV-фотомаски в держатель: ручная работа! (источник: MIT)

Ещё один проблемный элемент в оптическом тракте экстремальной ультрафиолетовой машины — собственно фотомаска, на которой прорисована (в укрупнённом масштабе, поскольку рефлекторная система работает с уменьшением) полупроводниковая структура будущего чипа. Изготовление фотомасок для DUV-фотолитографии — отлично отлаженный процесс: обычно это кварцевая пластина с хромовым напылением на участках, предназначенных блокировать световой поток.

Чтобы защитить дорогостоящую маску от осаждения пылинок, которые неизбежно исказят рисунок линий на шаблоне, что приведёт к порче будущего чипа прямо в процессе изготовления, маски покрывают плевами (pellicles) — прозрачными полимерными мембранами толщиной около 1 мкм, приподнятыми над поверхностью маски на 5-10 мм — заведомо вне фокальной плоскости светового потока, которая совпадает с поверхностью шаблона.

 Первая удачная плева для EUV-фотолитографии была изготовлена ASML лишь в начале 2021 г. (источник: ASML)

Первая удачная плева для EUV-фотолитографии была изготовлена ASML лишь в начале 2021 г. (источник: ASML)

Когда пылинка (а даже в «чистой комнате» они обязательно имеются, просто их число в кубическом метре воздуха жёстко нормировано) садится на плеву, проходящий через оптическую систему свет немного рассеивается, «изображение» пылинки на поверхности фотомаски выходит нерезким и широко размытым, и искажения, вносимые таким образом в проецируемый через фотомаску шаблон, оказываются минимальны. По накоплении достаточного количества пылинок мембрану меняют — это дешевле и проще, чем демонтировать и очищать саму кварцевую фотомаску.

Поскольку EUV-излучение поглощается, как не раз уже говорилось, практически любой средой, ни о каком просвечивающем шаблоне для литографии с применением 13,5-нм излучения речи не идёт. Маски для таких машин отражательные — те же самые брэгговские зеркала с нанесённой на них структурой будущего чипа. При формировании этой структуры необходимо принимать в расчёт, что экстремальный ультрафиолетовый поток будет падать на фотомаску под углом. А значит, потребуется компенсировать частичное затенение отражённого света приподнятыми над поверхностью первого слоя молибдена участками поглотителя на основе тантала.

 Схема отражения сходящегося под углом 6° EUV-пучка от многослойной фотомаски с покрытием из рутения (Ru) 2-нм толщины, защищающим внешний слой молибдена от разрушительного воздействия высокоэнергичных фотонов (источник: Imec)

Схема отражения сходящегося под углом 6° EUV-пучка от многослойной фотомаски с покрытием из рутения (Ru) 2-нм толщины, защищающим внешний слой молибдена от разрушительного воздействия высокоэнергичных фотонов (источник: Imec)

Мало того: брэгговское зеркало отражает 70% падающего на него излучения, поглотитель — 0%, а значит, передающаяся фотомаске немалая энергия распределяется по внешней её поверхности крайне неравномерно. Что, разумеется, также может приводить к тепловым искажениям, которые по понятным причинам недопустимы даже на уровне единиц нанометров. Так что и на данном участке оптического тракта необходимы как корректный подбор материалов, так и изощрённая система теплоотвода.

Способные изготавливать EUV-фотомаски компании в мире наперечёт: это Applied Materials, Asahi Glass Co (AGC) и Hoya. Кстати, до самых недавних пор внушительный вклад в себестоимость чипов, литографированных с применением 13,5-нм излучения, вносила необходимость регулярной замены этих узлов, поскольку использовать плевы для защиты масок не было возможности: прекрасно пропускающий DUV-излучение материал даже микрометровой толщины для EUV-излучения оказывался непрозрачным. А если DUV-фотомаска обходится максимум в 100 тыс. долл. (правда, их необходимо несколько десятков штук на одну машину для последовательного формирования множества слоёв структурных элементов на поверхности кремниевой подложки), то одна EUV-фотомаска, которых также требуется немало, — примерно в 300 тыс.

На ранних стадиях серийного EUV-производства чипмейкеры надеялись, что никаких пылинок в тщательно вакуумированном оптическом тракте литографической машины попросту не будет. Однако, как показала практика, эти ожидания не оправдались (вскипающее до перехода в плазму олово — тот ещё пылегенератор, но об этом чуть позже), и маски приходилось частенько менять. Разработку защитных мембран для EUV-фотолитографии вели с середины 2010-х ASML, IBM, Samsung Electronics и другие компании, но долгое время достичь адекватного по соотношению цены и качества результата не выходило.

 Серийная мембрана PELLICLE для EUV-фотомасок, выпускаемая ныне Mitsui (источник: Mitsui Chemicals)

Серийная мембрана PELLICLE для EUV-фотомасок, выпускаемая ныне Mitsui (источник: Mitsui Chemicals)

Лишь в начале 2021 г. ASML заявила о готовности к применению разработанной ею полисиликоновой плевы толщиной 50 нм, способной выдерживать в процессе работы нагрев до 600-1000 °С и пропускающей более 90% излучения с длиной волны 13,5 нм. Не следует забывать, что EUV-поток мощностью под 200 Вт проходит сквозь защитную мембрану дважды: когда падает на зеркальную фотомаску и когда отражается от неё. Вот почему разработка ASML (ныне её развивает уже японская Mitsui) уникальна тем, что не только свободно пропускает через себя львиную долю излучения, но и выдерживает 400-Вт мощность, сохраняя притом на 99,8% свои исходные геометрические характеристики, а именно — равномерную толщину и гладкость поверхности.

#Горячий цех

Высокая энергия экстремальных ультрафиолетовых фотонов — подлинный бич EUV-литографии. На первых порах, осваивая эту технологию, Samsung Electronics и TSMC применяли матрицы без плев — и имели возможность генерировать потоки излучения повышенной мощности. Сегодня необходимость защиты фотомаски от пылинок предметно доказана, но доступные чипмейкерам плевы применимы лишь при мощности EUV-потока немногим более 200 Вт. Компании Imec и Canatu активно трудятся над плевой из углеродных нанотрубок, способной выдерживать до 600 Вт, что позволит поднять мощность источника в фотолитографе до 300 Вт.

 Рабочий прототип плевы для EUV-фотомаски, изготовленной из углеродных нанотрубок (источник: Imec)

Рабочий прототип плевы для EUV-фотомаски, изготовленной из углеродных нанотрубок (источник: Imec)

Почему высокая мощность настолько важна — от неё ведь одни неприятности (см. чуть выше о необходимости интенсивного охлаждения брэгговских зеркал)? Всё очень просто: экспозиция фоторезиста EUV-фотонами по сути аналогична экспозиции чувствительного слоя фотоплёнки фотонами видимого света: чем слабее интенсивность светового потока (мощность излучения), тем больше времени требуется, чтобы сформировать изображение приемлемой контрастности.

Выше интенсивность — меньше времени уходит на экспонирование; быстрее обрабатывается поверхность каждой очередной пластины-заготовки; возрастает скорость выхода готовых пластин. А это крайне важно, поскольку себестоимость отдельного чипа определяется объёмами выпуска всей серии. Чем дешевле будут получаться СБИС на EUV-машинах, тем доступнее для конечного потребителя станут гаджеты с процессорами "5 нм" и менее, тем меньше времени займёт возврат инвестиций в чрезвычайно дорогостоящую EUV-инфраструктуру, тем скорее чипмейкеры смогут начать освоение очередного этапа миниатюризации техпроцесса — и тем выше вероятность, что "закон Мура" в очередной раз окажется спасён.

Но вот какая штука: самый передовой на сегодня EUV-литограф ASML TWINSCAN NXE:3600D выдаёт готовую продукцию со скоростью 160 пластин в час. То есть в полтора раза медленнее, чем практически венец совершенства DUV-технологий, литограф TWINSCAN NXT:2000i, что выпекает 300-мм пластины с физическим разрешением 38 нм (именно его применяют чипмейкеры для выпуска СБИС по маркетинговым технологическим нормам «7 нм» и даже «5 нм») в темпе 275 единиц в час.

Казалось бы, в чём тут проблема? Достаточно просто немного прибавить мощности EUV-излучателя — и скорость выхода пластин незамедлительно возрастёт! Но увы: как наверняка успел уже подметить внимательный читатель, ничего в EUV-фотолитографии "просто" не бывает.

 Все ухищрения современной EUV-фотолитографии направлены на то, чтобы повысить скорость выпуска таких вот пластин с готовыми чипами (источник: Zeiss)

Все ухищрения современной EUV-фотолитографии направлены на то, чтобы повысить скорость выпуска таких вот пластин с готовыми чипами (источник: Zeiss)

Отметим, что энергоёмкость самого EUV-процесса попросту неимоверна. Для начала эффективность преобразования потребляемой литографом электроэнергии в излучение смехотворно мала — не более 0,02% для первых образцов таких машин. Иными словами, чтобы сгенерировать световой поток мощностью 200 Вт, необходимо подвести 1 МВт (1000 кВт) только к узлу формирования 13,5-нм пучка. Современные EUV-машины обходятся чуть более чем 500 кВт, однако типичный DUV-агрегат с 193-нм рабочим лазером нуждается в мощности, меньшей ровно на порядок!

Кстати, узел формирования 13,5-нм светового потока — на редкость сложная конструкция, которая использует импульсный углекислотный (CO2) лазер для интенсивного испарения крохотных (диаметром 25 мкм) капелек расплавленного, очищенного от малейших примесей олова. Именно в состоянии горячей сверхплотной плазмы олово излучает сверхжёсткий ультрафиолет с необходимой длиной волны.

 Процесс сборки углекислотного лазера, применяемого для EUV-фотолитографии: почти полмиллиона деталей, 7332 метра кабелей и более 17 тонн «живого» веса (источник: TRUMPF)

Процесс сборки углекислотного лазера, применяемого для EUV-фотолитографии: почти полмиллиона деталей, 7332 метра кабелей и более 17 тонн «живого» веса (источник: TRUMPF)

Каждая порция EUV-излучения формируется в два приёма: сперва лазерный луч расплющивает каплю металла в плоский диск, увеличивая тем самым площадь мишени, а затем второй импульс уже частично превращает этот диск в облачко плазмы. Тем самым эффективность плазмогенерации (доля вещества капли, переходящего в плазму после облучения) возрастает с 0,33% для одиночного экспонирования до 10% для двойного. Ясно, что как минимум ближнее к точке формирования EUV-потока брэгговское зеркало приходится менять по меньшей мере раз в год, поскольку его поверхность повреждают и капли олова, и высокоэнергичные ионы.

Безусловно, производителям микропроцессоров хочется ускорить выход готовых пластин из наиболее передовых на сегодня EUV-фотолитографов, повышая мощность источника излучения. И без того EUV-литографы находятся в работе лишь 70-80% всего времени эксплуатации, тогда как DUV-машины давно вышли на почти непрерывную 90%-ную производительность. Сказывается необходимость сравнительно частых проверок и замен узлов в оптическом тракте, по которому распространяется сверхжёсткий ультрафиолет.

 Полностью смонтированный узел формирования EUV-излучения для литографической машины; вид со стороны коллектора первичного излучения — это серебристая чаша чуть выше центра снимка (источник: ASML)

Полностью смонтированный узел формирования EUV-излучения для литографической машины; вид со стороны коллектора первичного излучения — это серебристая чаша чуть выше центра снимка (источник: ASML)

Однако — помимо отсутствия налаженного производства стойких к высоким температурам защитных мембран — тут приходится принимать в расчёт целый ряд других факторов. И прежде всего ту сторону дуалистичности фотонов, что характеризует корпускулярную природу света. В радиоэлектронике эта природа проявляется как дробовой шум — стохастические флуктуации интенсивности сигнала. Чем больше фотонов в потоке, тем сильнее сглажено влияние дробового шума на измерения (поскольку его величина обратно пропорциональна квадратному корню из числа фотонов в пучке), однако полностью он никогда не исчезает.

Для фотонов 13,5-нм излучения ситуация обратная: их на фоторезист попадает до крайности мало. Формально процессу засветки чувствительного слоя это не препятствует: энергия 13,5-нм фотона примерно в 14 раз больше, чем 193-нм. Однако если на квадратный нанометр мишени в DUV-машине попадает в среднем 97 фотонов, то на ту же площадь в EUV-агрегате — уже всего семь. Следовательно, каждый элемент на поверхности кремниевой пластины будет формироваться буквально считаным (единицы, максимум один-два десятка) числом фотонов на квадратный нанометр, так что погрешность количества фотонов, попадающих на единичную площадку, возрастает примерно с ±10% до ±40%.

 Порождаемые в толще фоторезиста вторичные электроны добавляют стохастики в процесс экспонирования (источник: Wikimedia Commons)

Порождаемые в толще фоторезиста вторичные электроны добавляют стохастики в процесс экспонирования (источник: Wikimedia Commons)

Более того, мощные EUV-фотоны, врезаясь в слой фоторезиста, выбивают из составляющих его молекул электроны — также довольно высокоэнергичные. Вообще-то, в этом и состоит принцип действия светочувствительного слоя: первичные (порождённые упавшим фотоном) электроны определённым образом воздействуют на окружающие молекулы, и, когда фоторезист подвергают затем химической обработке (процессу проявки), изменённые молекулы, потеряв способность удерживаться на месте, вымываются.

Однако в случае 13,5-нм излучения энергия фотонов настолько высока, что порождённые ими электроны сами оказываются достаточно мощными, чтобы выбивать из окружающего вещества каскады уже вторичных электронов. А те, в свою очередь, инициируют целый ряд химических реакций, порой довольно причудливых, как в толще непосредственно освещённой области, так и за её пределами. Из-за этого дополнительно нарушается чёткость изображения по краям; «тени» формируемых на поверхности чипа элементов выходят более размытыми, чем на шаблоне.

 Сравнение линий, формируемых на поверхности кремниевой пластины ArF-лазером с длиной волны 193 нм (слева) и 13,5-нм EUV-излучением: масштаб картинки справа вдвое больше. Видно, как с ростом плотности энергии вдвое (70 мДж на кв. см для EUV против 35 для DUV) гораздо явственнее проявляются стохастические эффекты. Оттенки на рисунках отображают разные градации числа фотонов, попадающих на базовую единицу площади: на 1 кв. нм для DUV и на 0,25 кв. нм для EUV (источник: SemiWiki)

Сравнение линий, формируемых на поверхности кремниевой пластины ArF-лазером с длиной волны 193 нм (слева) и 13,5-нм EUV-излучением: масштаб картинки справа вдвое больше. Видно, как с ростом плотности энергии вдвое (70 мДж на см2 для EUV против 35 для DUV) гораздо явственнее проявляются стохастические эффекты. Оттенки на рисунках отображают разные градации числа фотонов, попадающих на базовую единицу площади: на 1 нм2 для DUV и на 0,25 нм2 для EUV (источник: SemiWiki)

Все перечисленные эффекты приводят к тому, что очертания отдельных компонентов транзистора на чипах, изготовленных EUV-способом, характеризуются нечёткими, грубыми линиями (Line-edge roughness, LER). Из-за этого резко (по сравнению с DUV) возрастает вероятность получения полупроводниковых элементов ненадлежащего качества, да ещё и не так, как дóлжно, соединённых металлическими контактами (missing and kissing contacts). А ведь для уверенного продвижения от маркетинговых «7 нм» в сторону меньших технологических норм приходится использовать тот же инструментарий, что и для DUV-литографии, — многопроходную обработку (SAMP, SAQP), что ещё сильнее повышает вероятность возникновения дефектов на готовом изделии.

В этой связи перед разработчиками в полный рост встаёт ещё и проблема дефектоскопии: каким образом на 300-мм в диаметре пластине, покрытой полупроводниковыми транзисторами с плотностью 130-230 миллионов штук на квадратный миллиметр, достаточно быстро и с хорошим охватом выявлять неработоспособные участки будущих СБИС — и тем самым гарантировать выход определённой доли заведомо годных чипов? Для этой цели ASML, KLA, NuFlare, Tasmit и другие компании разрабатывают электронно-лучевые дефектоскопы, способные массово верифицировать соответствие ожидаемым характеристикам множества существенно вертикальных структур. Ведь при переходе к маркетинговым технологическим нормам «3 нм», «2 нм» и менее вместо гребенчатых транзисторов FinFET применяются ещё более вытянутые по вертикали транзисторы с кольцевыми затворами, GAAFET.

 Реальная плотность «5-нм» технологических норм, реализуемых и/или планируемых к реализации по сути на одном и том же EUV-оборудовании ASML разными чипмейкерами, млн транзисторов на 1 кв. мм, в сравнении с «7-нм» и «10-нм», по состоянию на конец 2021 г. (источник: WikiChip)

Реальная плотность «5-нм» технологических норм, реализуемых и/или планируемых к реализации по сути на одном и том же EUV-оборудовании ASML разными чипмейкерами, млн транзисторов на 1 кв. мм, в сравнении с «7-нм» и «10-нм», по состоянию на конец 2021 г. (источник: WikiChip)

Полупроводниковая фотолитография на основе 13,5-нм излучения как область инженерных исследований находится сегодня примерно в том же положении, что DUV-технология два десятка лет назад. Первые поколения чипов по EUV-технологии («5-7 нм») уже уверенно производятся, происходит постепенный переход на следующий уровень миниатюризации («2-3 нм»), успешно решён целый ряд технических проблем, но вместе с тем перед разработчиками появился ещё больший ворох совершенно новых.

Путей совершенствования EUV множество: это и создание новых фоторезистов, и увеличение численной апертуры оптической системы (high-NA EUV), и наращивание мощности излучения ради повышения скорости обработки единичной пластины-заготовки, и многое другое. И пока спрос на вычислительную технику продолжит оставаться как минимум таким же высоким, каким он был на протяжении последнего полувека, в дальнейшую миниатюризацию технологических процессов государства и частный бизнес будут вкладывать всё более умопомрачительные средства, потому что окупаться те будут по мере роста возможностей новых поколений компьютеров с неменьшей скоростью.

 
 
⇣ Содержание
Если Вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.
Вечерний 3DNews
Каждый будний вечер мы рассылаем сводку новостей без белиберды и рекламы. Две минуты на чтение — и вы в курсе главных событий.

window-new
Soft
Hard
Тренды 🔥
Nvidia представила GR00T — базовую ИИ-модель для создания человекоподобных роботов 33 мин.
Nvidia сделала цифровых людей более реалистичными 34 мин.
Nvidia и Shutterstock запустили ИИ-генератор 3D-моделей Edify 37 мин.
Дата выхода в раннем доступе, Kickstarter и обновлённое демо: красочная фэнтезийная стратегия Songs of Silence получила новый трейлер 2 ч.
Бывшие сотрудники Blizzard рассказали, что происходит с сюжетными миссиями Overwatch 2 — их могут окончательно отменить 3 ч.
Более половины игровых студий применяют ИИ в разработке, показало исследование Unity 3 ч.
На смену Family Sharing в Steam придут «Семейные группы» с общей библиотекой, контролем за детьми и привязкой к региону 4 ч.
Nvidia запустила Quantum Cloud — облачный симулятор квантового компьютера для исследований 4 ч.
Telegram выгодно для себя привлёк $330 млн через продажу облигаций 4 ч.
Более 500 российских программистов приняли участие в совместном хакатоне Хоум Банка и «Сколково» 5 ч.
На китайской орбитальной станции сотни материалов протестировали открытым космосом 6 мин.
Realme представила смартфон Narzo 70 Pro 5G с поддержкой бесконтактного жестового управления 11 мин.
Western Digital и Kioxia начали наращивать производство флеш-памяти после долгого сокращения 26 мин.
Samsung основала лабораторию по разработке полупроводников для ИИ 3 ч.
«Мерлион» выпустит SSD, блоки питания и другие комплектующие под собственным брендом 4 ч.
Смарт-часы Xiaomi Watch S3 и Redmi Watch 4 для любителей активного образа жизни и ТВ-приставка Mi Box S 2 Gen для развлечений 5 ч.
SK hynix запустила массовое производство стеков памяти HBM3E — первой её получит Nvidia 5 ч.
Смартфоны Redmi Note 13 и 13 Pro+ 5G, планшет Xiaomi Pad 6 расширят возможности для работы и развлечений 6 ч.
Зарубежные поставщики Intel и TSMC не спешат строить свои предприятия в Аризоне 7 ч.
Nvidia и Synopsys внедрили искусственный интеллект в сфере литографической подготовки производства чипов 7 ч.