Сегодня 19 марта 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → 5 нм
Быстрый переход

Развитие под санкциями: китайская SMIC разрабатывает технологии выпуска 3-нм чипов без EUV

Несмотря на отсутствие доступа к оборудованию для выпуска чипов с литографией в экстремальном ультрафиолете (EUV) из-за санкций, китайская компания SMIC продолжает разработку 5-нм и 3-нм техпроцессов производства чипов. Ранее SMIC удалось наладить серийное производство 7-нм микросхем, опираясь исключительно на литографию в глубоком ультрафиолете (DUV), что само по себе не является невозможным — техпроцесс TSMC N7P также не использует EUV.

 Источник изображения: SMIC

Источник изображения: SMIC

В отчёте Nikkei утверждается, что сразу после запуска 7-нм техпроцесса 2-го поколения, SMIC создала исследовательскую группу для работы над 5-нм и 3-нм техпроцессами. Команду возглавляет ранее работавший в TSMC и Samsung содиректор SMIC Лян Монг-Сонг (Liang Mong-Song). «Нет более умного учёного или инженера, чем этот парень, — так охарактеризовал его Дик Терстон (Dick Thurston), бывший главный юрисконсульт TSMC. — Он действительно один из самых блестящих умов, которых я видел в области полупроводников».

SMIC прошла долгий путь от небольшой полупроводниковой фабрики до пятого по величине контрактного производителя микросхем в мире. На фоне растущей напряжённости между США и Китаем компания была включена в санкционный список Министерства торговли США и потеряла доступ к передовым инструментам для обработки кремниевых пластин, что серьёзно замедлило её развитие и внедрение новых технологических процессов.

На данный момент литографические машины ASML Twinscan NXT:2000i являются лучшими инструментами, которыми располагает SMIC — они могут производить травление с разрешением до 38 нм. Этот уровень точности обеспечивает экспонирование с шагом 38 нм с использованием двойной фотомаски, чего достаточно для производства чипов класса 7 нм. Согласно исследованиям ASML и IMEC, при 5 нм шаг металла уменьшается до 30-32 нм, а при 3 нм — до 21-24 нм, что уже требует применения EUV.

 Источник изображения: ASML

Источник изображения: ASML

Но использование инструментов литографии со сверхвысоким разрешением (13 нм для EUV с низкой числовой апертурой) — не единственный путь к достижению сверхмалых размеров транзисторов. Другой вариант предусматривает нанесение нескольких последовательных масок, но это сложный процесс, который увеличивает продолжительность производственного цикла, снижает процент выхода годных изделий, увеличивает износ оборудования и повышает затраты. Однако без доступа к EUV-литографии у SMIC просто нет другого выбора, кроме как использовать тройное, четверное или даже пятикратное паттернирование.

Терстон считает, что под руководством Лян Монг-Сонга SMIC сможет производить (если уже не производит) 5-нм чипы в больших количествах без использования инструментов EUV. Однако сегодняшний отчёт Nikkei впервые сообщает о возможной способности SMIC разработать в обозримом будущем 3-нм производственный процесс на оборудовании класса DUV.

Intel впервые за 13 лет изменит транзисторы в чипах — компания показала RibbonFET и схему их обратного питания

На конференции Innovation 2023 глава компании Intel Патрик Гелсингер (Patrick Gelsinger) показал кремниевую пластину с процессорами Arrow Lake, выполненными по техпроцессу 20A (20 ангстрем или 2 нм). Эти чипы появятся в 2024 году и станут первыми за 13 лет носителями новой архитектуры транзисторов. На мероприятии глава Intel раскрыл кое-какие детали будущих архитектур, что можно считать официальным подтверждением появившихся ранее утечек.

 Источник изображения: Intel

Источник изображения: Intel

Значительным событием стало подтверждение планов Intel начать выпуск 2-нм процессоров в 2024 году — раньше, чем это сделают компании TSMC и Samsung, до этого показавшие значительный технологический отрыв от микропроцессорного гиганта. Компания Intel поставила перед собой цель освоить за четыре года выпуск процессоров на пяти новых технологических узлах и, похоже, строго следует этому плану. Более того, по ряду технологических новшеств Intel собирается оказаться впереди как Samsung, так и TSMC.

 Пластина с чипами Arrow Lake

Пластина с чипами Arrow Lake

В частности, компания Intel первой переведёт линии питания элементов процессоров на заднюю часть подложки. Сигнальные линии останутся на прежнем месте, а питание будет подаваться с обратной стороны непосредственно на транзисторы. Произойдёт это, начиная с транзисторов чипов Arrow Lake, которые компания уже выпускает в виде инженерных образцов.

Разделение питания и сигнальных линий даст много преимуществ, хотя также будет сопряжено с технологическими трудностями. Разгрузка объёма пластины со стороны сигнального интерфейса позволит упростить разводку и повысить скорость работы сигнального интерфейса за счёт уменьшения длин соединений и, соответственно, снижения их сопротивления току. Такое же упрощение разводки питания (с обратной стороны) и даже увеличение сечения проводников питания позволит уменьшить переходные процессы и даже откроет путь к увеличению плотности размещения транзисторов. Компания TSMC, например, планирует внедрить похожую технологию не раньше 2026 года или на два года позже Intel.

 Доставка питания с «чёрного хода»

Доставка питания сзади (справа на изображении). Слева — актуальный подход, когда сигнал и питание подаются в одном слое

Но определённо революционным новшеством в процессорах Arrow Lake станут новые транзисторы RibbonFET Gate-All-Around (GAA) с каналами, полностью окружёнными затворами. Это будут первые с 2011 года новые транзисторы в процессорах Intel после начала производства транзисторов FinFET с вертикальными каналами (рёбрами), окружёнными затворами только с трёх сторон. Подобные транзисторы в собственной интерпретации (SF3E) уже выпускает компания Samsung, но она не готова сделать их массовыми. Компания Intel, похоже, готова организовать производство GAA-транзисторов на массовой основе.

Архитектурно GAA-транзисторы Intel похожи на такие же транзисторы Samsung. Они точно также представлены расположенными друг над другом каналами в виде тонких нанолистов (наностраниц), окружённых затворами со всех сторон. В составе транзистора Intel использует четыре канала. По словам Intel, такая конструкция обеспечивает более быстрое переключение транзисторов при использовании управляющего тока аналогичного по силе току для FinFET. При этом GAA-транзистор занимает на подложке заметно меньше места, чем FinFET.

Компания TSMC рассчитывает внедрить в производство собственную архитектуру GAA в 2025 году или на год позже Intel. В этом формально Samsung опередила своих конкурентов, но в плане массовости производства самых передовых решений она пока ничем похвастаться не может.

Apple сэкономила миллиарды долларов на 3-нм процессорах благодаря эксклюзивной сделке с TSMC

Компания TSMC вышла на массовое производство 3-нм чипов с уровнем выхода годной продукции выше 70 %. И обычно клиенты оплачивают все пластины и чипы, включая бракованные. Однако на эксклюзивных условиях сотрудничества компания Apple платит тайваньскому контрактному производителю микросхем только за годные кристаллы, сообщает портал AppleInsider, ссылающийся на отчёт издания The Information.

 Источник изображения: TSMC

Источник изображения: TSMC

По словам операционного директора Apple Джеффа Уильямса (Jeff Williams), Apple и TSMC связывают долгие и насыщенные отношения. Как пишет The Information, эти отношения по-настоящему уникальны и выделяются как на фоне сотрудничества TSMC с другими своими клиентами, так и на фоне отношений прочих производителей чипов со своими покупателями.

В частности, TSMC не взимает с Apple полную стоимость пластин с использованием 3-нм техпроцесса, в которых содержатся сотни кристаллов будущих процессоров. Тайваньский контрактный производитель берёт с Apple плату только за годные кристаллы. Обычно разница в цене не является статистически значимой, потому что со временем TSMC так или иначе выходит на уровень выпуска 99 % годных кристаллов на кремниевой пластине. Однако в настоящий момент уровень пригодных к использованию 3-нм кристаллов составляет около 70–80 %. Поскольку Apple не платит TSMC за негодные кристаллы, это позволяет ей значительно экономить. Речь идёт о миллиардах долларов.

Apple выкупила практически все мощности TSMC для выпуска 3-нм изделий ещё несколько лет назад. The Information утверждает, что TSMC способна вести разработку новых технологических процессов в частности благодаря тому, что Apple готова заранее и в больших объёмах оплачивать производственные мощности для выпуска новых передовых чипов. Отмечается, что как только TSMC снизит уровень производственного брака кристаллов и повысит объём выпуска годных чипов, она сможет предоставлять свои услуги по производству 3-нм процессоров и другим компаниям, но уже без таких выгодных условий.

Предполагается, что использование 3-нм процессоров в составе будущих смартфонах iPhone 15 до 35 % увеличит показатель их энергоэффективности по сравнению с актуальными моделями iPhone 14, сообщает AppleInsider.

Один из первых 3-нм чипов производства Samsung обнаружился в ASIC-майнере

Хотя Samsung начала массовое производство чипов на базе техпроцесса SF3E (3 нм, транзисторы GAA) примерно год назад, к настоящему моменту мало кто из производителей электроники подтвердил его использование в своих продуктах. Недавно аналитики TechInsights выяснили, что одним из первых заказчиков 3-нм чипов у Samsung стала компания MicroBT, выпускающая ASIC-майнеры. Выполненный по технологии SF3E чип применяется в её криптомайнере Whatsminer M56S++.

 Источник изображения: Arm

Источник изображения: Arm

Специализированные интегральные схемы ASIC для добычи криптовалюты представляют собой небольшие чипы с относительно малым количеством входящих в их состав транзисторов и повторяющимися логическими структурами, похожими на простые битовые ячейки памяти SRAM. В целом за счёт простоты производства таких чипов это делает их весьма подходящей платформой для тестирования передовых технологически процессов. Поэтому совсем неудивительно, что техпроцесс SF3E нашёл своё первое практическое применение именно в среде криптомайнинга.

К сожалению, в открытом доступе о ASIC-майнере Whatsminer M56S++ не так много информации. Известно лишь, что система компании MictoBT на основе этого чипа обеспечивает хешрейт на уровне 240–256 Тхеш/с и обладает энергоэффективностью 22 джоуля на терахэш.

 Источник изображения: Samsung

Источник изображения: Samsung

На данный момент неизвестно, используется ли 3-нм технология SF3E компании Samsung в каких-либо других коммерческих решениях помимо оборудования для майнига. Однако сама Samsung заявляет, что «использует этот техпроцесс в своих продуктах».

«Мы массово производим чипы на основе первого поколения 3-нм техпроцесса со стабильным уровнем выхода годных микросхем. С учётом этого опыта мы уже ведём разработку второго поколения техпроцесса, который обеспечит ещё более высокий выход годных чипов», — цитирует портал Tom’s Hardware одно из недавних заявлений компании.

По сравнению с техпроцессами 5-нм класса Samsung второго поколения (SF5, 5LPP), SF3E обещает снижение энергопотребления микросхем до 45 % при сохранении той же частоты работы, либо повышение производительности до 23 % при сохранении количества транзисторов и мощности. Кроме того, чипы с использованием SF3E занимают на 16 % меньшую площадь.

Intel представила недорогой и энергоэффективный 16-нм техпроцесс для широкого спектра чипов

Контрактный производитель полупроводников Intel Foundry Services (IFS) представил новый технологический процесс 16-нм класса, получивший название Intel 16. Он предназначен для производства чипов для мобильных устройств, RF-модулей, компонентов IoT, бытовой техники, устройств для хранения данных, а также для использования в военной и аэрокосмических отраслях. Новая технология дополняет 22-нм техпроцесс Intel FFL и считается недорогим техпроцессом на основе FinFET.

 Источник изображения: Intel

Источник изображения: Intel

Как указывается в пресс-релизе компаний Synopsys, Cadence Digital и Ansys, являющихся ведущими поставщиками средств автоматизации проектирования электроники, новый техпроцесс Intel 16 разработан специально под широкий круг изделий от разных заказчиков. Технология класса 16 нм Intel предлагает более высокую плотность транзисторов, более высокую производительность и энергоэффективность по сравнению с планарными техпроцессами, которые применяются сегодня для перечисленных выше полупроводниковых изделий, а также обещает упросить процесс проектирования и производства полупроводниковых компонентов.

Сотни различных видов электронных микросхем по-прежнему производятся с применением зрелых технологических узлов. Сюда можно отнести однопрограммные процессоры, различные контроллеры и аналоговые устройства, чипы для бытовой техники и радиоустройств. Использование зрелых узлов обходится дешевле, проще и сопровождается меньшим количеством брака. Хотя для передовых вычислений вроде ИИ используются большие и мощные чипы вроде AMD Instinct MI300 и NVIDIA H100, которые выпускаются по самым передовым техпроцессам, но всё равно эти сферы не обходятся без более компактных и простых чипов, обеспечивающие меньший уровень производительности, но при этом обладающие значительно более высокими показателями энергоэффективности. Исходя из этого производители чипов по-прежнему предлагают своим клиентам продукты на основе недорогих зрелых технологических процессов, в которых применяются транзисторы FinFET. Например, та же компания TSMC в этом случае предлагает использовать её техпроцесс N12e.

Компании Ansys, Cadence и Synopsys сообщили, что уже внедрили поддержку технологического процесса Intel 16 в своих программных продуктах для автоматизации проектирования микросхем. Та же Cadence, например, адаптировала применение Intel 16 для проектирования компонентов PCIe 5.0, своего мультипротокольного решения 25G PHY, мультипротокольных решений для потребительских продуктов с поддержкой стандартов PCIe 3.0 и USB 3.2, для различных компонентов памяти LPDDR5/4/4X, а также интерфейсов MIPI D-PHY v1.2 для камер и цифровых дисплеев. В свою очередь Synopsys предлагает поддержку Intel 16 в составе набора инструментов Synopsys.ai с поддержкой ИИ для более быстрого внедрения чипов.

Разработчики полупроводниковых микросхем, не имеющие собственных производств, уже могут начать использовать инструменты проектирования, моделирования и проверки своих решений на основе техпроцесса Intel 16.

TSMC ускорила разработку 2-нм техпроцесса — тестовое производство хотят запустить в этом году

Тайваньский контрактный производитель микросхем TSMC ускорил разработку 2-нм технологического процесса из-за высокого потенциального спроса на эту продукцию со стороны таких компаний, как NVIDIA и Apple. Об этом сообщает тайваньское издание Economic Daily.

 Источник изображения: TSMC

Источник изображения: TSMC

По словам издания, тайваньская компания уже приступила к подготовке к старту мелкосерийного производства 2-нм чипов, а массовое производство микросхем этого класса должно начаться к 2025 году.

Со ссылкой на источники Economic Daily сообщает, что в рамках подготовки к тестовому производству микросхем класса 2-нм TSMC передислоцировала инженеров и поддерживающий персонал в центр разработки, расположенный в городском округе Баошань. В рамках тестового производства до конца этого года планируется выпуск 1000 кремниевых пластин, говорится в сообщении одного из источников, на 2024 год компания запланировала ещё один пробный выпуск пластин, а старт массового производства чипов согласно нормам 2 нм должен начаться в 2025 году. Для массового производства 2-нм микросхем компания расширит мощности на своей фабрике в Баошани, а также задействует новый завод в Тайчжуне, что на западе Тайваня.

Для TSMC важно начать тестовое производство 2-нм чипов как можно скорее, так как на этой фазе производства не исключено возникновение технических сложностей, для решения которых потребуется время. Это будет первое поколение чипов компании, в которых будут применяться транзисторы GAA с круговым затвором.

По данным источников тайваньского издания, разработка 2-нм техпроцесса TSMC пока идёт хорошо. Отмечается, что конкуренция среди клиентов компании за использование нового техпроцесса стала более интенсивной, что может говорить о высоком уровне инвестиций в разработку и развитие индивидуальных решений. По словам тех же источников, TSMC активно использует в разработке нового техпроцесса алгоритмы искусственного интеллекта, за счёт которых производитель пытается повысить энергоэффективность новых чипов и, вероятно, снизить воздействие их производства на окружающую среду. Тайваньская компания использует ИИ-платформу AutoDMP от NVIDIA, которая позволяет 30-кратно ускорить процессы оптимизации проектирования кристаллов по сравнению с предыдущими методами и технологиями. Средство проектирования чипов от NVIDIA призвано сделать производство дешевле, а сами чипы — производительнее и энергоэффективнее.

Samsung значительно снизила уровень брака при выпуске 4-нм чипов и этим привлекла AMD и Google

Техпроцесс компании Samsung с нормами 4 нм долго страдал от высокого уровня брака, пока в минувшие недели не был достигнут значительный прогресс. Представители Samsung сообщили об этом лично в местных социальных сетях, что случается крайне редко. Заявлено, что «следующее поколение 4-нм техпроцесса обеспечит более высокий выход годной продукции» и это привлекло именитых клиентов — компании AMD и Google.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Как нам известно, до конца текущего полугодия Samsung начнёт массовый выпуск микросхем на основе третьего поколения 4-нм техпроцесса. Это произойдёт примерно спустя два года после первой заявки о начале выпуска 4-нм решений тогда ещё первого поколения. В этом компания Samsung не сильно отстала от своего конкурента — тайваньской TSMC, но в чём она явно проиграла — это в степени готовности нового техпроцесса к массовому производству.

Утверждалось, что ко второму году производства с нормами 4 нм уровень выхода годных микросхем на линиях Samsung приближался к 60 %, тогда как на аналогичных по масштабам линиях TSMC этот показатель достигал 70 % и даже 80 % (для зрелого производства этот показатель достигает 92–95 %). Уровень выхода годной продукции на новых 4-нм линиях Samsung будет приближаться к уровню TSMC и к уровню выхода годных 5-нм чипов Samsung, что означает уверенное преодоление планки 70 % и более.

Ранее компания Samsung потеряла ряд крупных заказов от Tesla, Qualcomm и других компаний, поскольку выход 4-нм микросхем с каждой пластины заметно уступал показателям TSMC. Достижение новых уровней качества продукции позволили южнокорейскому чипмейкеру вернуть часть из них. Например, сообщается о новых договорах на контрактное производство чипов для компаний AMD и Google.

TSMC рассказала, как будет улучшать 2-нм техпроцесс N2 — оптимизация питания в N2P и повышение скорости в N2X

Компания TSMC рассекретила планы совершенствования 2-нм техпроцесса N2, массовое производство по которому должно стартовать в 2025 году. Спустя год после этого будет внедрён оптимизированный по шине питания техпроцесс N2P, а ещё через некоторое время компания запустит техпроцесс N2X для решений с высшей производительностью. Развитие 2-нм техпроцесса TSMC будет стремительным, что может объясняться опасениями TSMC отстать от Intel и Samsung.

 Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Официально техпроцесс с нормами 2 нм тайваньский чипмейкер представил летом прошлого года. Производство полупроводников с этими технологическими нормами начнётся в 2025 году. Главной особенностью техпроцесса N2 станет переход с FinFET на транзисторы с круговым затвором (GAAFET). Это снизит токи утечки, позволит гибко регулировать производительность и оптимизирует потребление. Другой важной особенностью техпроцесса N2 должен был стать перенос линий питания чипа на другую сторону кристалла, что будет означать развязку шины данных и управления с питанием.

 Источник изображений: TSMC

Источник изображений: TSMC

Как теперь становится понятно, перенос линий питания ожидается в процессе внедрения техпроцесса N2P, что произойдёт в 2026 году. Из предыдущих заявлений компании первой реализации идеи можно было ожидать в 2025 году. Разнесение интерфейсов питания и данных по разные стороны кристалла решает множество проблем. Так, линии подвода питания к транзисторам станут короче, что снизит их сопротивление. Разнесение разводки уменьшит площадь кристаллов, львиную долю которой съедали линии передачи и межслойные контакты. Наконец, хотя это не всё, снизятся взаимные помехи, что скажется на стабильности сигнальных характеристик чипов.

Снижение площади кристалла, занятой контактами и разводкой, приведёт к значительному увеличению плотности транзисторов. Ранее TSMC заявляла, что переход от техпроцесса с нормами 3 нм к нормам 2 нм увеличит плотность транзисторов на 10 %. К настоящему моменту прогноз был улучшен до 15 % и, в случае внедрения техпроцесса N2P, плотность может вырасти на двухзначную величину, которую компания пока не конкретизирует. Закон Мура вздохнёт ещё раз перед своей смертью.

О техпроцессе N2X, который будет внедряться в 2026 году или позже, компания ничего не сообщила. Можно предположить, что это будет не слишком распространённое предложение, тогда как техпроцесс N2P обещает стать рабочей лошадкой компании на этапе 2-нм производства чипов.

Также компания сообщила о прогрессе в подготовке базового 2-нм техпроцесса. Производительность транзисторов GAAFET в составе опытного кремния доходит до 80 % от целевых значений. И это за два года до начала внедрения, что очень и очень хорошо. При этом уровень брака при производстве 2-нм ячеек SRAM объёмом 256 Мбит снизился до 50 % и менее.

 Источник изображения: Anandtech

Источник изображения: Anandtech

В целом техпроцесс с нормами 2 нм позволит TSMC повысить производительность транзисторов на 10–15 % при той же мощности и сложности, или снизить энергопотребление на 25–30 % при тех же тактовых частотах и количестве транзисторов. На бумаге TSMC отстаёт от компании Intel на год или два и успехи одной из компаний не дают покоя другой. Если каждая из них сдержит обещания, то чипы TSMC с транзисторами GAAFET появятся на два года позже аналогичных чипов Intel (20A), что также касается планов переноса линий питания на обратную сторону кристалла.

Samsung сокращает выпуск чипов старых поколений для расширения 3-нм производства

По последним данным, компания Samsung столкнулась с трудностями при организации производства чипов в соответствии с передовым 3-нм технологическим процессом. Причина не в отсутствии технологических возможностей, но, как оказалось, в нехватке квалифицированных специалистов для ведения разработок. В результате компании приходится сворачивать старые производства для использования человеческих ресурсов на новых.

 Источник изображения: Samsung

Источник изображения: Samsung

Как сообщает Sammobile со ссылкой на южнокорейские СМИ, компания уже перевела часть сотрудников, задействованных в выпуске чипов в соответствии со «зрелыми» техпроцессами, на выпуск 3-нм и даже более передовой продукции. Судя по всему, в компании наблюдается острая нехватка специалистов для поддержки всех техпроцессов, в результате, кадры перенаправляют на современное производство с 130-мм и 65-мм заводских линий.

Конечно, подобная реорганизация довольно дорого обойдётся Samsung. По последним данным, компания более не принимает заказы на чипсеты, основанные на 130-нм и 65-нм технологиях, от корейских малых и средних разработчиков чипов.

Хорошей новостью для Samsung является то, что компания — не единственный из производителей полупроводников, столкнувшихся с подобной проблемой. Известно, что нанять больше квалифицированных сотрудников стремятся полупроводниковые компании из Китая, США и с Тайваня.

TSMC довольно долго осваивала 3-нм производство, не исключено, что именно по причине нехватки кадров. Samsung начала поставки 3-нм чипов в прошлом году, но первая партия оказалась очень небольшого объёма. Первые 3-нм чипы Samsung поставила китайской компании, связанной с майнингом криптовалют.

Известно, что новейший флагман компании Galaxy S23 использует только 4-нм чипсет Snapdragon 8 Gen 2, оптимизированный для Galaxy, причём выпускает его тайваньский производитель TSMC.

Samsung представила первую в мире 12-нм память DDR5 — она быстрее, экономичнее и компактнее прежней

Компания Samsung Electronics сообщила о разработке первой в отрасли памяти DDR5 DRAM 12-нм класса. Новая память поможет в развитии вычислительных систем следующего поколения, центров обработки данных и приложений искусственного интеллекта. Всё это гарантирует наилучшая в отрасли производительность новой памяти, возросшая энергоэффективность, а также уменьшенный размер кристалла, что повысит выход чипов с каждой пластины.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Чип 12-нм памяти DDR5 представляет собой 16-гигабитную микросхему. Скорость обмена данными по каждому контакту составляет 7,2 Гбит/с. С такой скоростью обработка чипом двух 4K-фильмов объёмом 30 Гбайт займёт всего одну секунду. При этом потребление 12-нм памяти снижено на 23 % по сравнению с памятью предыдущего поколения, что станет очень заметным на типично огромных массивах памяти для приложений ИИ, машинного обучения и обработки больших данных.

Что принципиально, уменьшение техпроцесса производства памяти и, соответственно, уменьшение физических размеров каждой ячейки памяти не привело к наращиванию ошибок чтения и записи. Помог в этом новый материал с высокой диэлектрической проницаемостью (high-κ), который предотвращает утечки заряда из ячейки. Также Samsung говорит об использовании некой запатентованной технологии проектирования, которая улучшает характеристики критических цепей.

В целом компания готовится к выпуску памяти DDR5 с самой высокой в отрасли плотностью записи. Поэтому без использования сканеров EUV дело не обошлось. «Глубокий ультрафиолет» наконец-то далеко шагнул в отрасль производства компьютерной памяти. Благодаря этому с каждой кремниевой пластины Samsung сможет получать на 20 % больше микросхем, чем раньше. Массовое производство 12-нм чипов DDR5 компания начнёт в 2023 году. Совместимость с процессорами AMD уже проверена, что подтвердили ответственные лица компании.

«Инновации часто требуют тесного сотрудничества с отраслевыми партнерами, чтобы расширить границы технологий, — сказал Джо Макри (Joe Macri), старший вице-президент, корпоративный научный сотрудник и технический директор AMD по вычислениям и графике. — Мы очень рады вновь сотрудничать с Samsung, особенно при выпуске продуктов памяти DDR5, которые оптимизированы и проверены на платформах "Zen"».

Китайская Zhaoxin представила 16-нм 4-ядерник Kaixian KX-6000G и первый ноутбук на нём

Китайская компания Zhaoxin вместе с серверными процессорами серии Kaisheng KH-40000 представила потребительский четырёхъядерный x86-совместимый 64-битный процессор Kaixian KX-6000G для ноутбуков, моноблочных ПК, встраиваемых систем и терминалов. Производитель указывает, что чип выпускается с применением 16-нм техпроцесса производства.

 Источник изображения: Zhaoxin

Источник изображения: Zhaoxin

Kaixian KX-6000G будет доступен в двух конфигурациях. Одна работает в диапазоне частот от 2,0 до 3,0 ГГц и имеет заявленный показатель энергопотребления 15 Вт. Для второй версии заявлен динамический TDP 25–35 Вт, а также диапазон частот от 2,6 ГГц (3,0 ГГц при TDP 35 Вт) до 3,3 ГГц. Для обеих версий процессора заявляется поддержка инструкций SSE 4.2 и AVX.

Контроллер памяти Kaixian KX-6000G поддерживает до 64 Гбайт двухканальной ОЗУ стандарта DDR4-3200. Также указывается поддержка 16 линий PCIe 3.0, четырёх разъёмов USB 3.2 Gen1, двух USB 2.0, а также двух портов SATA III. Kaixian KX-6000G оснащён встроенным графическим ядром C-1080. Для него реализована поддержка DirectX 12, OpenCL 1.2, OpenGL 4.6, 4K-дисплеев.

В Zhaoxin отмечают, что по сравнению с изделиями предыдущего поколения, производительность графической подсистемы Kaixian KX-6000G увеличена в четыре раза, а показатель энергоэффективности процессора вырос на 60 %. Кроме того, на 50 % снижен показатель энергопотребления чипа в состоянии бездействия.

 Источник изображения: Haier

Источник изображения: Haier

Вместе с Kaixian KX-6000G производитель анонсировал один из первых продуктов на его основе — ноутбук Boyue G43 от компании Haier. Лэптоп получил 14-дюймовый дисплей с поддержкой разрешения 1920 × 1200 пикселей и соотношением сторон 16:10. В основе устройства используется 35-ваттный вариант четырёхъядерного процессора Kaixian KX-6000G с базовой частотой 3,0 ГГц и максимальной на уровне 3,3 ГГц. Для новинки заявляется 8 Гбайт оперативной памяти DDR4 и твердотельный NVMe-накопитель объёмом 512 Гбайт. В оснащение ноутбука вошли один разъём USB 3.1, два USB 2.0, два USB 3.1 Gen1 (Type-C) и один выход HDMI. Кроме того, указывается поддержка беспроводных стандартов Wi-Fi 6 и Bluetooth 5.0.

TSMC выпустила первый чип по улучшенному 3-нм техпроцессу N3E, но до массового производства ещё далеко

Разработчик полупроводниковых микросхем Alphawave сообщил, что выпустил первый в индустрии опытный образец чипа с использованием улучшенного 3-нм технологического процесса N3E от компании TSMC. Отмечается, что тестовый образец успешно прошёл все необходимые тесты.

 Источник изображения: TSMC

Источник изображения: TSMC

Для Alphawave выпустили образец чипа ZeusCORE100 1-112Gbps NRZ/PAM4 Serialiser-Deserialiser (SerDes), представляющий собой пару функциональных блоков, обычно используемых в высокоскоростной связи, для преобразования данных между последовательным и параллельным интерфейсами в обоих направлениях. Отмечается, что он поддерживает различные новые стандарты интерфейсов, включая 800G Ethernet, OIF 112G-CEI, PCIe 6.0 и CXL3.0. Подобные чипы в основном применяются для коммуникаций в серверных системах.

 Источник изображения: Alphawave IP

Источник изображения: Alphawave IP

TSMC планирует представить пять вариаций 3-нм техпроцесса в течение двух–трёх лет. Обычная версия техпроцесса N3 будет использоваться ведущими клиентами контрактного производителя чипов, например, той же Apple. С вводом второго поколения 3-нм техпроцесса (N3E) планируется ускорить, а также увеличить объёмы выпуска микросхем, повысить уровень их производительности и энергоэффективности.

 Источник изображения: TSMC

Источник изображения: TSMC

Ожидается, что чипы на базе усовершенствованного 3-нм техпроцесса N3E получат более широкое использование по сравнению с микросхемами на базе стандартного техпроцесса N3, однако старт массового выпуска чипов на основе техпроцесса N3E ожидается не ранее середины 2023 года, то есть примерно спустя год после начала массового производства чипов согласно нормам N3.

После перехода к массовому производству чипов по нормам N3E компания TSMC планирует перейти к выпуску микросхем на техпроцессах N3P и N3S, которые будут представлять собой варианты оптимизации N3E под различные классы применений. N3P ориентируется на высокопроизводительные чипы, а N3S — на энергоэффективные чипы с повышенной плотностью транзисторов. Оба техпроцесса запланированы на 2024 год. К 2025 году, когда компания начнёт осваивать следующую ступень полупроводниковых норм, появится ещё один техпроцесс 3-нм класса — N3X. На его базе будут выпускаться высокопроизводительные процессоры, для которых важно использование высоких рабочих токов и длительная работа на повышенных тактовых частотах.

«Микрон» наладит производство чипов для банковских карт, полисов и паспортов на мощностях многострадальной «Ангстрем-Т»

В ходе форума «Микроэлектроника-2022» компании «Микрон» и «НМ-Тех» заключили соглашение о сотрудничестве, предусматривающее постановку технологий и запуск производства микрочипов на мощностях «НМ-Тех». Последняя, напомним, пытается ввести в строй многострадальный полупроводниковый завод «Ангстрем-Т». В «Микроне» заявили, что компании не хватает мощностей для удовлетворения возросшего спроса, и сотрудничество с «НМ-Тех» должно помочь.

 Источник изображения: Clay Banks/unsplash.com

Источник изображения: Clay Banks/unsplash.com

В соответствии с договором «Микрон» должен оказать содействие в постановке технологии и освоении выпуска двухинтерфейсных (дуальных) сверхбольших интегральных схем (СБИС) для использования в платёжных картах «Мир», интегральных микросхем с энергонезависимой памятью для паспортно-визовых документов нового поколения с поддержкой биометрических характеристик владельца, а также полисов обязательного медицинского страхования (ОМС).

«Микрон» является крупнейшим производителем микроэлектроники в России. Тем не менее, компания сейчас не способна удовлетворить резко взлетевший спрос на описанные выше изделия. «Цель и безусловный приоритет — наращивание общих производственных мощностей в России. Сейчас возросший спрос превышает возможности нашей фабрики, требуется увеличить объёмы выпуска», — сообщила журналистам генеральный директор «Микрон» Гульнара Хасьянова.

По словам представителя «НМ-Тех» Сергея Царапкина, сегодня «важно максимально быстро поставить технологию и запустить выпуск изделий». Для этого у компании есть современное оборудование, кадры и технологический потенциал, а помощь «Микрона» позволит ускорить процесс.

Напомним, что последние два года «НМ-Тех» выкупала мощности завода «Ангстрем-Т», на котором ещё в 2008 году планировалось запустить производство полупроводников по техпроцессу 130 нм. Для этого было куплено оборудование дрезденского завода AMD, производительность должна была составить 15–20 тыс. 200-мм пластин в месяц. Но запуску предприятия помешали санкции, под которые компания попала в 2014 году. В итоге производство до сих пор не наладили.

В начале сентября сообщалось, что «Микрон» получит 7 млрд рублей на развитие производства. Это позволит вдвое увеличить производственные мощности по выпуску чипов, спрос на которые в стране значительно вырос. Как и «НМ-Тех», компания «Микрон» является резидентом «Технополис Москва». Представители технополиса обещали сделать всё возможное, чтобы обеспечить комфортные условия для резидентов.

Китай официально подтвердил, что располагает полностью локализованным 14-нм техпроцессом

Китайские власти на официальном уровне подтвердили, что страна имеет необходимые производственные мощности для крупносерийного выпуска современных чипов по 14-нм техпроцессу. Объявление было сделано представителем шанхайской муниципальной администрации, который акцентировал внимание на том, что китайские передовые высокотехнологические компании сосредоточены в Шанхае.

 Bloomberg

Bloomberg

Идея выступления чиновника состояла в том, что Шанхай стал передовым технологическим центром, с помощью которого Китай способен двигаться вперёд в развитии полупроводниковой отрасли, несмотря на наложенные со стороны США санкции. Как сказал Ву Цзиньчэн (Wu Jincheng), директор Шанхайской муниципальной комиссии по экономике и цифровизации, в городе есть фирмы, способные серийно выпускать 14-нм чипы, производить 90-нм литографические машины, собирать установки для травления с разрешением 5-нм и изготавливать кремниевые пластины диаметром 300 мм. Всего этого, по мнению китайского чиновника, вполне достаточно для производства центральных процессоров и чипов 5G полностью своими силами.

Аналитики указывают, что это первый раз, когда китайские власти на официальном уровне признали способность страны массово выпускать 14-нм чипы, не прибегая ни к каким импортным технологиям. По всей видимости, это заявление было сделано в качестве ответа на усилившуюся в последнее время активность властей США по введению новых антикитайских санкций. В частности, недавно Министерство торговли США ввело запрет на поставки в Китай оборудования, которое может применяться для изготовления полупроводников по нормам менее 14 нм.

Хотя 14-нм техпроцесс и кажется довольно далёким от современных рубежей, эта технология может удовлетворить большинство потребностей Китая, говорят эксперты. Крупномасштабное производство по этим нормам вне всяких сомнений будет востребовано местной автомобильной промышленностью, а также в сегменте интернета вещей.

При этом никто не отрицает, что китайские специалисты ведут исследования по усовершенствованию имеющихся техпроцессов, и в скором времени может оказаться, что Китай имеет доступ и к более современным производственным нормам. Например, ранее заявлялось, что китайский контрактный производитель SMIC приступил к выпуску ASIC-чипов для майнеров биткоина по техпроцессу 7 нм. Независимый анализ этих чипов выявил, что по геометрическим размерам затвора транзисторов они находятся где-то между 10- и 14-нм чипами TSMC, но плотность размещения этих транзисторов действительно ближе к 7-нм техпроцессу. И это значит, что от китайских производителей полупроводников можно ожидать любых сюрпризов, и не факт, что санкционное давление сможет застопорить их прогресс.

TSMC начнёт массовый выпуск чипов по усовершенствованному 3-нм техпроцессу в 2023 году

Компания TSMC подтвердила, что переход на массовое производство чипов согласно передовым технологическим процессам будет проводиться согласно графику. Разработка усовершенствованного 3-нм техпроцесса N3E идёт гладко. Первые коммерческие продукты на его основе ожидаются в следующем году. Продукты на базе основного 3-нм техпроцесса N3 начнут массово выпускаться в этом году.

 Источник изображения: TSMC

Источник изображения: TSMC

Тестовый выпуск чипов согласно нормам 3 нм был запущен в прошлом году. Сейчас же TSMC массово производит чипы согласно техпроцессу 5 нм, на базе которого этой осенью ожидается выход на рынок большого числа различных потребительских продуктов. По словам тайваньской компании, выпуск чипов по нормам 5 нм принёс ей 21 % от общей выручки во втором квартале этого года.

Одной из главных особенностей узла N3 является технология FinFlex, которая должна увеличить для заказчиков привлекательность чипов, выпускаемых компанией. Суть технологии состоит в том, что производитель позволит использовать разные виды FinFET-транзисторов в рамках одного полупроводникового кристалла. В конце августа глава компании Си-Си Вэй (C.C. Wei) сообщил, что TSMC столкнулась со множеством сложностей при разработке 3-нм техпроцесса. Однако совсем скоро начнётся массовый выпуск чипов на его основе, и многие клиенты компании этого очень ждут.

В то же время в TSMC подтвердили, что в 2025 году планируют начать выпуск кремниевых пластин с использованием 2-нм техпроцесса производства. Для этого компания построит новый завод на территории Научного парка Синьчжу. Подготовка инфраструктуры для новой фабрики уже началась.

В рамках 2-нм техпроцесса TSMC будет выпускать микросхемы с архитектурой транзистора с круговым затвором Gate-All-Around (GAA). Прогнозируется, что TSMC выйдет на массовое производство чипов на основе 2-нм техпроцесса раньше, чем это сделают южнокорейский гигант Samsung Electronics и американская компания Intel. По мнению аналитиков, тайваньская компания в 2024 году станет первым производителем чипов, который задействует новое оборудование для литографии в глубоком ультрафиолете (EUV) с высокой числовой апертурой.

Согласно прогнозам, чипы на базе 2-нм техпроцесса будут на 10–15 % быстрее микросхем на базе узла N3E при том же уровне энергопотребления или на 25–30 % энергоэффективнее при той же тактовой частоте работы.

Из-за высокого спроса на передовые микросхемы производственные мощности TSMC по-прежнему загружены на 100 %. Компания ожидает, что это продлится как минимум до конца текущего года. В то же время многие соглашаются, что полупроводниковой отрасли сейчас приходится проводить корректировку запасов микросхем в связи со снижающимся потребительским спросом на различную бытовую технику.


window-new
Soft
Hard
Тренды 🔥
Всё своё ношу с собой: Nvidia представила контейнеры NIM для быстрого развёртывания оптимизированных ИИ-моделей 6 ч.
Nvidia AI Enterprise 5.0 предложит ИИ-микросервисы, которые ускорят развёртывание ИИ 7 ч.
NVIDIA запустила облачную платформу Quantum Cloud для квантово-классического моделирования 7 ч.
NVIDIA и Siemens внедрят генеративный ИИ в промышленное проектирование и производство 7 ч.
SAP и NVIDIA ускорят внедрение генеративного ИИ в корпоративные приложения 8 ч.
Microsoft проведёт в мае презентацию, которая положит начало году ИИ-компьютеров 9 ч.
Амбициозная ролевая игра Wyrdsong от бывших разработчиков Fallout: New Vegas и Skyrim в опасности — в студии прошли массовые увольнения 9 ч.
THQ Nordic раскрыла системные требования Alone in the Dark на все случаи жизни — для игры на «ультра» понадобится RTX 4070 Ti 10 ч.
Сливать игры до релиза станет опаснее — создатели Denuvo рассказали о технологии TraceMark for Games 10 ч.
Календарь релизов 18–24 марта: Dragon's Dogma 2, Rise of the Ronin, Horizon Forbidden West на ПК 12 ч.