Сегодня 07 мая 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → asml
Быстрый переход

ASML сможет поставлять в Китай оборудование для DUV-литографии до конца 2023 года

Европейский технологический гигант ASML сообщил о получении действующих до конца года лицензий на поставку в Китай литографических систем для производства чипов. Это произошло несмотря на новые экспортные ограничения, которые начнут действовать уже с сентября.

 Источник изображения: ASML

Источник изображения: ASML

Тем не менее ASML не ожидает, что ей дадут экспортные лицензии на поставку в Китай передовых литографических сканеров для выпуска чипов, работающих с глубоким ультрафиолетом (DUV), после января 2024 года, как сообщил в четверг представитель компании.

Нидерландская компания столкнулась с проблемами из-за попыток США ограничить экспорт новейших технологий в Китай — третий по величине рынок для ASML. Администрация президента США Джо Байдена (Joe Biden) настояла на необходимости прекратить поставки в Китай некоторых литографических сканеров, работающих с глубоким ультрафиолетом (DUV), без специальной лицензии.

Эти ограничения начнут действовать с 1 сентября. Компании ASML уже запрещено продавать в Китай оборудование для фотолитографии в экстремальном ультрафиолете (EUV) — самые передовые системы в их линейке. Четырёхмесячный период, начинающийся в сентябре, предназначен для того, чтобы ASML могла выполнить договорные обязательства перед китайскими клиентами.

Ранее ASML выразила уверенность, что введённые запретительные меры не окажут существенное влияние на её финансовый прогноз на текущий год или в более долгосрочной перспективе.

Введение экспортных ограничений подчёркивает нарастающее напряжение в отношениях между Западом и Китаем в сфере высоких технологий. Несмотря на текущие препятствия, ASML продолжает стремиться к выполнению своих договорных обязательств перед китайскими партнёрами, подчёркивая важность этого рынка для её бизнеса.

Импорт литографического оборудования из Нидерландов в Китай в июле взлетел в восемь раз

С первого сентября власти Нидерландов должны ужесточить ограничения в отношении поставок в Китай оборудования, предназначенного для работы с глубоким ультрафиолетовым излучением (DUV). Поскольку об этих намерениях было известно заблаговременно, китайские производители чипов с января по июль увеличили импорт оборудования из Нидерландов на 64,8 % до $2,58 млрд.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что ASML является главным поставщиком литографических сканеров, необходимых для изготовления полупроводниковой продукции, и власти Нидерландов ещё с 2019 года ограничивают поставки их отдельных типов в Китай. Сперва запреты касались передовых сканеров, работающих со сверхжёстким ультрафиолетовым излучением (EUV), но в этом году они распространятся и на более массовые DUV-сканеры. По данным JW Insights, за семь месяцев этого года импорт литографического оборудования из Нидерландов в Китай вырос в денежном выражении на 64,8 % до $2,58 млрд.

Ирония судьбы заключается в том, что в январе представители ASML упомянули $2,36 млрд в качестве ориентира по выручке на китайском направлении для всего 2023 года. Получается, что прогноз был превышен за первые семь месяцев года на весомые $220 млн. Китайский рынок, по прогнозу ASML, должен в этом году формировать около 14 % всей выручки компании. За один только июль в Китай было ввезено оборудования из Нидерландов на $626 млн, что почти в восемь раз больше результатов аналогичного месяца прошлого года.

С первого сентября ASML потребуются выдаваемые властями Нидерландов экспортные лицензии для поставки самых передовых DUV-сканеров в Китай, хотя по основной части ассортимента оборудования ничего не изменится. В этом месяце китайские СМИ сообщили, что китайский производитель литографического оборудования сможет предложить «суверенное» решение для выпуска 28-нм чипов до конца текущего года. Хотя такую возможность наверняка будут приветствовать все китайские производители чипов, заменить отечественными аналогами всё литографическое оборудования в обозримой перспективе они не смогут. По этой причине до введения в действие новых ограничений они активно закупают оборудование из Нидерландов.

ASML повысила прогноз годовой выручки до 30 %, несмотря на антикитайские санкции

По финансовой отчётности крупнейшего в мире поставщика литографических систем ASML можно судить о положении дел в отрасли в целом, поэтому квартальный отчёт этого нидерландского производителя оборудования привлёк внимание тематических ресурсов. Во втором квартале ASML выручка достигла €6,9 млрд, превысив ожидания аналитиков. По итогам всего года компания теперь рассчитывает увеличить выручку на 30 % вместо прежних 25 %.

 Источник изображения: ASML

Источник изображения: ASML

Квартальная выручка компании в годовом сравнении выросла почти на 28 %, при этом норма прибыли увеличилась с 49,1 до 51,3 %. Чистая прибыль выросла на 38 % до €1,9 млрд. Количество литографических сканеров для выпуска чипов, проданных за период, выросло с 91 до 113 штук, а стоимостной эквивалент принятых за квартал предварительных заявок на поставку оборудования удалось сократить с €8,5 до €4,5 млрд, но в последовательном сравнении сумма выросла на 20 %. Из этой суммы около €1,6 млрд пришлось на системы для работы со сверхжёсткой ультрафиолетовой литографией (EUV), используемые для выпуска самых передовых чипов. В общей сложности, компания располагает заказами на сумму €38 млрд, что и позволяет ей с уверенностью смотреть в будущее. Прогноз по приросту выручки по итогам текущего года компания улучшила с 25 до 30 %.

В третьем квартале ASML рассчитывает выручить от €6,5 до €7 млрд при норме прибыли на уровне 50 %. Затраты на исследования и разработки составят около €1 млрд, административные расходы ограничатся €285 млн. Как утверждается, прогноз по годовой выручке был улучшен из-за высокого спроса на системы, работающие с глубокой ультрафиолетовой литографией (DUV). Клиенты, по словам главы ASML, всё ещё не торопятся наращивать парк производственного оборудования из-за макроэкономической неопределённости, поэтому рынок полупроводниковых компонентов перейдёт к восстановлению после кризиса перепроизводства позже, чем планировалось. Впрочем, на рынке компонентов для ПК дно уже достигнуто, как считает Петер Веннинк (Peter Wennink). Портфель заказов ASML превышает возможности компании по выпуску оборудования, поэтому она собирается расширять производственные мощности.

Примечательно, что китайские клиенты продолжают активно покупать оборудование ASML, которое компания имеет возможность им поставлять в условиях усиливающихся санкций. В Китае легко продаётся даже оборудование, которое на прочих рынках считается не совсем ликвидным. Дальнейшие ограничения на поставку DUV-сканеров в Китай, по мнению руководства ASML, не окажут существенного влияния на бизнес компании.

ASML лишится возможности ремонтировать эксплуатируемое в Китае оборудование для выпуска чипов

До сих пор экспортные ограничения, накладываемые властями США и Нидерландов на деятельность крупнейшего в мире производителя литографических сканеров ASML, касались преимущественно поставок в Китай того или иного оборудования. Новая волна ограничений, как сообщает Bloomberg, может лишить китайских клиентов ASML возможности ремонтировать уже эксплуатируемое оборудование, которое было закуплено ранее.

 Источник изображения: ASML

Источник изображения: ASML

Соответствующие дополнения к правилам экспортного контроля, как сообщает источник, власти Нидерландов собираются ввести по просьбе своих американских коллег. Технически, оказывающая услуги по ремонту и обслуживанию литографического оборудования в Китае компания может получить специальную лицензию, чтобы продолжать эту деятельность, но у властей Нидерландов теперь появятся законные основания отказать в выдаче такой лицензии.

Власти США дополнительно ограничат нидерландскую ASML в возможности поставлять в Китай ряд литографических сканеров, работающих с достаточно зрелой DUV-технологией, но позволяющих изготавливать 5-нм чипы. Такие запреты распространятся примерно на шесть предприятий в Китае, среди которых будут и мощности SMIC — крупнейшего в КНР контрактного производителя чипов. Опять же, ASML теоретически сохранит возможность согласовать такие поставки с властями США, но шансы преуспеть в этом будут минимальны.

Законодательство США даёт право властям ограничивать поставки технологий и оборудования между третьими странами, если в составе экспортируемых объектов имеются какие-то элементы американского происхождения или программное обеспечение. Ситуация с литографическим оборудованием ASML, поставляемым в Китай, как раз попадает под данную схему контроля со стороны США.

ASML в рамках этой инициативы США могут потребоваться отдельные разрешения на обслуживание и ремонт оборудования, уже проданного и эксплуатируемого на территории Китая. Литографическое оборудование требует расходных материалов и запчастей зарубежного производства, поэтому власти США хотят лишить китайских клиентов ASML возможности использовать уже приобретённое на законных основаниях оборудование. Данные ограничения, как предполагаются, коснутся тех же шести предприятий на территории Китая, что и в случае с поставками нового оборудования ASML. Аналогичные ограничения на ремонт американского оборудования были введены ещё в прошлом октябре, и теперь власти США просто синхронизируют свои усилия с коллегами из Нидерландов.

ASML рассматривает выпуск для Китая специального оборудования для выпуска чипов

В нидерландской компании ASML рассматривают выпуск специальной версии сканеров для полупроводниковой DUV-литографии, предназначенных специально для поставок в Китай. Такие искусственно «ухудшенные» инструменты будут соответствовать новейшим ограничениям США и могут поставляться в Поднебесную без специальной экспортной лицензии.

 Источник изображения: ASML

Источник изображения: ASML

Если проект будет реализован, SMIC, Hua Hong и другие китайские компании, занимающиеся выпуском полупроводников, смогут по-прежнему использовать оборудование из Нидерландов для выпуска чипов в соответствии с 28-нм и более «зрелыми» техпроцессами. При этом оборудование не позволит выпускать более современные чипы.

В частности, речь идёт о литографической системе Twinscan NXT:1980Di — сегодня это наименее эффективная модель компании в линейке, выпускаемой ASML. Тем не менее, система поддерживает числовую апертуру оптики NA 1.35 и обеспечивает разрешение <38 нм. Этого, в частности, достаточно для выпуска 7-нм и даже более современных полупроводников. Фактически, сканер, представленный ещё в 2016 году, использовался TSMC для разработки 7-нм техпроцесса.

Искусственное ухудшение характеристик оборудования для предотвращения выпуска SMIC и прочими китайскими компаниями чипов до 28 нм вполне возможно. При этом львиную долю выручки SMIC получает от продажи чипов, выпущенных в соответствии с техпроцессами от 28 нм и выше, поэтому китайские компании вполне могут быть заинтересованы в приобретении у ASML даже таких инструментов.

Новейшие экспортные ограничения, введённые США, предписывают американским компаниям и физическим лицам получать специальные экспортные лицензии для поставок инструментов и технологий, способных выпускать чипы с непланарными (трёхмерными) транзисторами в соответствии с 14/16-нм и более современными техпроцессами, 3D NAND-память со 128 и более слоями и DRAM-память в соответствии с техпроцессами до 18 нм. Те же ограничения касаются и неамериканских бизнесов, использующих компоненты из США, в частности, речь идёт о Twinscan NXT:1980Di компании ASML.

Новейшие экспортные правила Нидерландов предписывают ASML получать экспортные лицензии для поставки сканеров Twinscan NXT:2000i китайским производителям. Хотя ASML ещё не выпускала версию оборудования с ограниченными возможностями, если экспортные ограничения будут ужесточаться и дальше, производство таких вариантов вполне вероятно.

Imec и ASML продолжат вместе разрабатывать оборудование для выпуска чипов по тончайшим техпроцессам

Бельгийский центр исследований Imec и нидерландская компания ASML подписали меморандум о взаимопонимании для поддержки исследований в области полупроводников и устойчивых инноваций в Европе. Подписание запускает вторую фазу совместной работы этих организаций по разработке оборудования и техпроцессов выпуска чипов с нормами менее 10 нм — до нанометра и менее, что найдёт применение на всех передовых заводах мира.

Так или иначе Imec и ASML участвуют в совместных полупроводниковых проектах свыше 40 лет. В 2018 году они договорились сделать литографические сканеры ещё лучше, чтобы позволить массово выпускать чипы с нормами менее 10 нм. Для этого ASML поставила для экспериментальной линии Imec самый передовой на тот момент сканер NXE:3400B со значением числовой апертуры (NA) 0,33 и запланировала поставку ещё более совершенного сканера EXE:5000 с NA 0,55.

На опытной линии Imec и ASML отрабатывали тонкости производства чипов и работали над усовершенствованием сканеров и оборудования для тестирования, а также испытывали различные составы фоторезиста, методы изготовления фотошаблонов и проводили другие исследования, которые помогли бы в освоении всё более тонких техпроцессов.

Новый договор позволит ещё дальше пойти по этому пути. Теперь ASML отправит для установки на опытную линию Imec ещё более новый сканер — EXE:5200 со значением числовой апертуры 0,55. Кроме этого оборудования в Imec будут отправлены последние модели NXE:3800 с 0,33 NA EUV, иммерсионный сканер DUV (TWINSCAN NXT:2100i), станция оптической метрологии Yieldstar и многолучевой HMI.

Обновлено: Нидерланды и США ужесточат ограничения на поставки оборудования для выпуска чипов в Китай

По данным агентства Reuters, власти Нидерландов уже сегодня могут объявить о новых ограничениях на экспорт в Китай ряда литографических сканеров ASML для выпуска чипов, а власти США усугубят этот запрет новыми санкциями, которые вступят в силу в сентябре. Эти скоординированные шаги западных стран направлены на дальнейшее ограничение способности китайских производителей выпускать относительно современные полупроводниковые компоненты. Нидерланды своё заявление на эту тему сделали после появления слухов.

 Источник изображения: ASML

Источник изображения: ASML

Нидерланды в этой сфере даже несколько опередили американские санкции против Китая, которые вступили в силу в октябре прошлого года. Фактически, ещё в 2019 году власти европейской страны запретили поставку в Китай первых для местных клиентов сканеров, способных работать с EUV-литографией. Принятые в октябре прошлого года экспортные ограничения США лишь закрепили данные ограничения в масштабах почти всего мира, поскольку большинство литографического оборудования так или иначе использует технологии или компоненты американского происхождения.

Теперь, как поясняет Reuters, американские чиновники намереваются снизить порог содержания таких компонентов в импортируемом в Китай оборудовании, в результате чего под ограничения могут попасть даже литографические сканеры, работающие с глубоким ультрафиолетом (DUV) и ориентированные на использование достаточно зрелых техпроцессов. Новые требования со стороны США могут быть сформулированы уже к концу июля, а в силу они вступят к сентябрю. Тогда же будут применены и новые ограничения со стороны Нидерландов.

Попутно власти США обозначат и перечень из примерно шести китайских компаний, которым соответствующее оборудование не удастся получить даже при попытке оформить поставщиком экспортную лицензию. Подобные заявки с высокой долей вероятности будут отклоняться. Ожидается, что в этот список точно попадёт SMIC — крупнейший в Китае контрактный производитель чипов. Американские власти в течение лета этого года дополнят экспортные ограничения, которые были введены в октябре, с учётом новых предложений. Возможно, права поставлять свою продукцию в Китай лишится и нидерландская ASM, которая специализируется на нанесении технологических покрытий при обработке кремниевых пластин.

P.S. Уже после появления первоначальной публикации на страницах Reuters министр торговли Нидерландов Лизе Шрайнемахер (Liesje Schreinemacher) заявила, что соответствующие экспортные ограничения будут введены с 1 сентября, и они будут направлены на обеспечение национальной безопасности страны, поскольку поставляемое в недружественные государства оборудование может быть использовано в оборонной сфере. Поставщики оборудования будут вынуждены получать у властей специальные экспортные лицензии, выдача которых не гарантируется. Одновременно был выпущен список оборудования, которое попадает под критерии экспортного контроля.

В случае с ASML речь идёт о литографических сканеров 2000-й серии и более современных, как отметили представители этой компании. Новые санкции не смогут оказать существенного влияния на выручку ASML. По мнению министра торговли Нидерландов, новые ограничения затронут только ограниченное количество компаний и моделей оборудования. Впервые в санкционный список формально попали EUV-сканеры ASML, поскольку ранее их поставки в Китай ограничивались по другим международным соглашениям. По словам чиновницы, властям Нидерландов придётся в свете новых ограничений ежегодно рассматривать не более 20 заявок на получение экспортных лицензий. Китай в тексте официальных документов нигде не упоминается напрямую, но очевидно, что ограничения направлены именно против этой страны.

ASML подтвердила, что не берёт на работу россиян и граждан ряда других стран

Являясь крупнейшим производителем литографических сканеров в мире, нидерландская компания ASML сильно зависит от технологий американского происхождения, а потому власти США могут диктовать ей свои условия в области экспортных ограничений. Нидерландский институт по правам человека постановил, что отказ в найме на работу сотрудников ASML по признаку гражданства не является дискриминацией.

 Источник изображения: ASML

Источник изображения: ASML

Тем самым данная организация подтвердила, что ASML может совершенно правомерно отказывать соискателям при трудоустройстве, если те имеют гражданство Ирана, Сирии, Кубы, Северной Кореи и ещё примерно 20 стран, в число которых входят Россия и Китай. Информацию о таком решении нидерландских надзорных органов опубликовало агентство Reuters. Согласно вердикту Института, сформулированные американскими властями правила иногда действуют за пределами юрисдикции США, и хотя они не выпущены властями Нидерландов, обязывают подчиняться им компанию ASML. Речь идёт о правилах экспортного контроля США, которые не разрешают распространение в Китае, России и некоторых других недружественных странах технологий и оборудования американского происхождения.

Расследование в Нидерландах было проведено по жалобе одной из правозащитных организаций Роттердама, разглядевших в практике найма персонала компанией ASML признаки дискриминации по национальному принципу. Компания, со своей стороны, изначально ответила, что нарушение ею требований американских законов может привести к наложению на неё санкций, которые фактически приведут к остановке всей деятельности. Положительный исход расследования полностью удовлетворил ASML. Сотрудникам компании запрещается иметь гражданство одной из нескольких стран, входящих в санкционный список США. Отказ в приёме на работу может быть сделан даже на основе национальности соискателя.

Нидерланды дополнительно ограничат экспорт машин ASML для выпуска чипов в Китай уже 30 июня

Правительство Нидерландов планирует обнародовать новые экспортные правила, которые дополнительно ограничат возможность экспорта машин для производства чипов в Китай. Ожидается, что соответствующее объявление будет сделано уже на следующей неделе, 30 июня, или в начале июля.

 Источник изображения: ASML

Источник изображения: ASML

По данным источников, фактически в документе не будет упоминаться Китай или ASML, но правила подготовлены таким образом, что ограничат именно экспорт трёх моделей оборудования ASML в Поднебесную. Как сообщает китайский ресурс SCMP, нидерландские законодатели фактически создали шаблон, по которому экспортные ограничения смогут вводить и другие страны ЕС. Как известно, администрация президента США Джо Байдена (Joe Biden) оказывает давление на Нидерланды и прочие страны, чтобы помешать Китаю развивать собственную полупроводниковую промышленность и добиваться успехов в технологиях вроде ИИ и квантовых вычислений. Прежде США ввели и собственные экспортные ограничения, не позволяя продавать в Китай некоторые передовые чипы и оборудование для их производства.

В январе власти Нидерландов и Японии выразили принципиальное согласие на присоединение к американским санкциям — три страны являются лидерами в производстве оборудования для выпуска чипов и обладают большим опытом выпуска полупроводников. ASML — одна из самых ценных технологических компаний ЕС. Ранее она заявляла, что ограничительные меры не окажут значительного влияния на её финансовые показатели в 2023 году или в долгосрочной перспективе. Для поставки некоторых типов оборудования компании придётся обращаться к властям за экспортными лицензиями.

Ожидается, что ограничения не позволят поставлять в Китай машины для производства чипов с использованием литографии в глубоком ультрафиолете (DUV): TWINSCAN NXT: 2000i, NXT: 2050i и NXT: 2100i. Ранее ASML уже запретили продажи оборудования для передовой EUV-литографии (в сверхжёстком ультрафиолете) китайским компаниям. По мнению местного министерства торговли, потеря платёжеспособного клиента «необходима для обеспечения национальной и международной безопасности» и технологии нужно взять под контроль так быстро, насколько это возможно. Впрочем, принимаемые меры всё равно мягче тех, которые уже ввели США в прошлом году.

Как известно, правительство Нидерландов подвергается значительному давлению со стороны США для организации глобальной блокады Китая в некоторых сферах, для того чтобы помешать стремительно развивающейся китайской полупроводниковой отрасли. При этом Китай является одним из главных клиентов ASML.

В самой компании неоднократно заявляли, что подобные санкции только подтолкнут Китай к ускоренному развитию собственных полупроводниковых технологий, но, похоже, их доводы не были услышаны. Известно, что очередной пакет законодательных инициатив ЕС предлагает усилить контроль за деятельностью европейских компаний на территории КНР. По традиции авторы инициативы заявляют, что меры не направлены против какой-то одной конкретной страны.

ASML назвала бессмысленной борьбу стран за технологический суверенитет — только сотрудничество приведёт к успеху

Взявшие курс на обеспечение технологического суверенитета страны, по мнению представителей ASML, обречены на борьбу с огромными трудностями, и в целом подобные усилия практически бессмысленны. Только кооперация между странами и компаниями может привести полупроводниковую отрасль к успеху, как считает этот поставщик литографического оборудования.

 Источник изображения: ASML

Источник изображения: ASML

Нидерландский холдинг ASML остаётся крупнейшим производителем литографических сканеров, которые применяются при производстве полупроводниковых компонентов. Кристоф Фуке (Christophe Fouquet), исполнительный вице-президент ASML, в интервью Nikkei Asian Review пояснил, почему странам надо стремиться к сотрудничеству в сфере производства полупроводниковых чипов.

«Мы в ASML не верим, что полное разделение (цепочек поставок) возможно. Мы верим, что оно будет крайне тяжело достижимым и запредельно дорогим. Потребуется время, чтобы люди поняли, что единственный путь к успеху в сфере полупроводников лежит через сотрудничество», — пояснил представитель компании. Успех самой ASML во многом определяется долгосрочным сотрудничеством с компаниями Zeiss и Cymer в сфере производства литографических сканеров, а также тесным взаимодействием с крупными клиентами типа тайваньской TSMC и американской Intel.

Сама ASML, как отмечает исполнительный вице-президент нидерландского холдинга, предпочитает пользоваться услугами лучших мировых поставщиков. Это позволяет развиваться быстрее и работать более эффективно. Те же японские Canon и Nikon многие вещи стараются делать самостоятельно, и это вынуждает их уступать ASML. При этом в некоторых вопросах имеет смысл ограничиться одним поставщиком, но чтобы он был лучшим. Для ASML это справедливо в вопросе выбора поставщика оптики для литографических сканеров, коим остаётся Zeiss. В менее ответственных сферах можно использовать несколько поставщиков схожей продукции, в итоге это позволяет экономить за счёт конкуренции и обеспечить более высокую надёжность поставок.

Деятельность самой ASML отличается высокой степенью географической концентрации производства, компания до 2026 года планирует от 80 до 90 % своих операций осуществлять на территории родных Нидерландов. Более трети сотрудников холдинга заняты в исследованиях и разработках, их близость к производственным площадкам важна с точки зрения скорости вывода на рынок нового поколения оборудования.

По соседству со штаб-квартирой ASML расположен и сервисный центр, который специализируется на ремонте литографического оборудования. В прошлом году компании удалось вернуть в строй до 87 % компонентов, подлежавших ремонту. К 2025 году этот показатель планируется поднять до 95 %. Всё больше сервисных центров ASML появляется в непосредственной близости от крупных клиентов в Южной Корее, США, Китае и на Тайване.

ASML тесно сотрудничает и с другими поставщиками литографического оборудования типа Tokyo Electron, Lam Research и Applied Materials, поскольку различные единицы должны интегрироваться в единую производственную линию и эффективно взаимодействовать на разных этапах технологического процесса.

Скопировать и с нуля воссоздать современный литографический сканер, по словам представителя ASML, почти невозможно. По его словам, это равноценно попытке воспроизвести творение Ван Гога своими силами после визита в музей. ASML остаётся самым крупным по величине капитализации ($228 млрд) поставщиком литографического оборудования в мире и третьей по этому критерию компанией Европы. Без её продукции почти немыслимо любое современное производство чипов.

ASML и Технический университет Эйндховена займутся подготовкой кадров для полупроводниковой отрасли

Кооперация академических учреждений и высокотехнологичных компаний является залогом развития всей полупроводниковой отрасли, поскольку в условиях развития последняя испытывает нехватку квалифицированных кадров. Утолить голод на этом направлении призвана новая инициатива компании ASML и одного из университетов Нидерландов, которые собираются начать совместную подготовку специалистов для европейской промышленности.

 Источник изображения: ASML

Источник изображения: ASML

ASML является ведущим мировым производителем литографических сканеров, на юге Нидерландов сосредоточены её предприятия, а Технический университет Эйндховена рассчитывает с помощью создаваемого специально исследовательского центра выпускать ежегодно до 40 специалистов с учёными степенями в технических сферах, связанных с наноматериалами, фотоникой и квантовыми вычислениями. Новый исследовательский центр, построенный при участии ASML, обойдётся в несколько сотен миллионов долларов и будет оснащён так называемой «чистой комнатой» для проведения экспериментов в области литографии.

В общей сложности новый исследовательский центр сможет приютить около 500 специалистов, сама ASML будет активно использовать его для подготовки кадров. Одновременно привлечение к такой активности нидерландских учёных позволит компании продвигаться в профильных исследованиях. На юге страны, где расположены предприятия ASML и указанный университет, в последние десять лет показатели экономического роста были выше, чем в остальных частях Нидерландов.

Западные поставщики литографического оборудования фактически рассчитывают на рост продаж в Китае

Открывшийся сезон квартальных отчётов позволил получить представление о том, как поставщики литографического оборудования рассчитывают развивать свой бизнес на китайском направлении с учётом усугубляющихся санкций как со стороны США, так и со стороны Нидерландов и Японии. По крайней мере, крупные представители двух первых стран считают, что в текущем году даже увеличат свою выручку на китайском рынке.

 Источник изображения: ASML

Источник изображения: ASML

Агентство Reuters анализирует высказывания двух представителей отрасли, чья деятельность в полной мере ограничивается принимаемыми с октября изменениями в правила экспортного контроля США и Нидерландов. Речь идёт о компаниях Lam Research (США) и ASML (Нидерланды), которые являются крупными игроками на мировом рынке литографического оборудования и серьёзную часть своей выручки получают именно в КНР. На данном этапе принимаемые властями США и Нидерландов ограничения в отношении китайских клиентов компаний распространяются преимущественно на передовое оборудование, позволяющее создавать чипы, востребованные в оборонной отрасли.

Китайские клиенты Lam Research и ASML, по словам представителей компаний, закупают преимущественно оборудование, используемое для выпуска чипов по более зрелым техпроцессам. Производимые на нём компоненты применяются в электромобилях, смартфонах и персональных компьютерах. Lam Research осенью прошлого года первоначально оценила вероятный ущерб от антикитайских санкций в размере до $2,5 млрд упущенной выручки по итогам всего 2023 года. Получив разъяснения от американских чиновников о характере вводимых ограничений, она пересмотрела прогноз в сторону улучшения и добавила, что сможет продать в Китае оборудования на несколько сотен миллионов долларов США, которое ранее ошибочно считала попадающим под санкции.

Lam Research уже получила около $500 млн авансовых платежей, преимущественно от новых клиентов. Из них «весомая часть» имеет отношение к Китаю, как пояснили представители компании.

В случае с ASML, как отмечалось на этой неделе, портфель заказов вырос до 39 млрд евро, чего хватило бы на два года работы этой компании. Из этого объёма китайские клиенты отвечают примерно за 30 % заказов. Это существенно выше, чем наблюдалось в ноябре, когда китайские клиенты формировали до 18 % заказов из общей суммы 38 млрд евро. По словам руководства ASML, основная часть китайских заказчиков довольствуется зрелой литографией и стремится выпускать чипы для электромобильной промышленности, которая сейчас бурно развивается в Китае и требует существенно больше компонентов, чем машины с ДВС.

Когда новые ограничения со стороны властей Нидерландов вступят в силу в этом году, ASML будет вынуждена прекратить поставки в КНР оборудования для работы с иммерсионной литографией с глубоким ультрафиолетом (DUV). Оно не считается передовым в ассортименте продукции марки, но каждый сканер стоит десятки миллионов евро, и для компании является важным источником выручки. В этом году ASML рассчитывает реализовать 93 таких системы.

Продажи оборудования ASML для выпуска чипов превзошли ожидания и не собираются падать

Квартальный отчёт нидерландского холдинга ASML предсказуемо привлёк внимание участников фондового рынка, поскольку эта компания является крупнейшим поставщиком литографических сканеров, используемых при производстве полупроводниковых компонентов. Итоги квартала превзошли ожидания аналитиков, ASML удалось заметно увеличить выручку и чистую прибыль.

 Источник изображения: ASML

Источник изображения: ASML

Так, выручка ASML за первый квартал выросла в годовом сравнении на 91 % до 6,74 млрд евро, а чистая прибыль увеличилась почти в три раза до 1,96 млрд евро. До этого аналитики предсказывал получение компанией выручки в размере не более 6,31 млрд евро и чистой прибыли не более 1,62 млрд евро. Генеральный директор Петер Веннинк (Peter Wennink) признался, что клиенты ASML пересматривают графики получения необходимого оборудования или как-то иначе реагируют на непростую экономическую ситуацию, но в целом компания сохраняет хороший прогноз на будущее.

«Общий объём спроса всё ещё превосходит наши производственные возможности на этот год и сейчас у нас имеются заказы на 38,9 млрд евро», — заявил глава холдинга. По его словам, компании отрасли корректируют складские запасы продукции, поэтому поставщик оборудования в лице ASML получает довольно противоречивые сигналы от клиентов. В прошлом квартале объём заказов на поставку оборудования сократился на 46 % до 3,75 млрд евро по сравнению с аналогичным периодом прошлого года.

В текущем квартале выручка ASML, по собственным прогнозам компании, должна увеличиться до 6,75 млрд евро по середине диапазона, что также выше ожиданий аналитиков, упоминавших сумму не более 6,42 млрд евро. В минувшем квартале норма прибыли ASML достигла 50,6 %, также превысив ожидания аналитиков. Это стало возможным благодаря возросшей прибыли от реализации оборудования для работы с литографией класса EUV и DUV.

Китайский рынок, поставки оборудования на который так жаждут сократить власти США, в первом квартале обеспечил ASML около 8 % всей выручки и до 20 % будущих заказов. На этом географическом направлении выручка компании в этом году даже вырастет, несмотря на введение экспортных ограничений властями Нидерландов. Чётких требований от последних компания ASML до сих пор не получила, но рассчитывает подать заявку на экспортную лицензию, позволяющую отгружать в Китай сканеры для работы с иммерсионной литографией. В этом году имеющиеся экспортные ограничения не должны оказать существенного влияния на деятельность ASML, как считает руководство компании.

Нидерланды рассчитывают на введение Японией схожих ограничений на поставку литографического оборудования в Китай

Министр внешней торговли Нидерландов Лизе Шрайнемахер (Liesje Shreinemacher) в интервью японскому изданию Nikkei Asian Review дополнительно подтвердила, что эта страна введёт ограничения на поставку в Китай некоторых видов литографического оборудования, а именно — предназначенного для работы с глубоким ультрафиолетовым излучением (DUV). Госпожа Шрайнемахер рассчитывает, что японские поставщики примут схожие ограничительные меры.

 Источник изображения: ASML

Источник изображения: ASML

При этом чиновница подчёркивает, что предпринимаемые меры не направлены против какой-то конкретной страны, но призывает демократические страны объединять свои усилия в попытках ограничить попадание определённого вида технологий «не в те руки». Оборудование класса DUV, по её словам, уступает по продвинутости EUV-сканерам, но всё равно может использоваться для выпуска чипов, которые пригодны для применения в военных целях.

«Я хочу, чтобы к нам присоединялись как можно больше стран, особенно демократических, когда речь идёт об этих экспортных ограничениях», — заявила Лизе Шрайнемахер. Ещё восьмого марта она направила своё обращение к парламенту Нидерландов в надежде ввести соответствующие ограничения к лету этого года после анализа ситуации с национальной безопасностью. Чиновница верит, что и Япония сможет ввести схожие ограничения со своей стороны: «Я очень открыта к диалогу и дискуссии, которые позволят выявить любые упущения или белые пятна, на которые мы не обратили внимания».

ASML в результате новых санкций против Китая потеряет не более 10 % выручки

Вчера стало известно, что к лету текущего года власти Нидерландов определятся с новыми экспортными ограничениями на поставку литографического оборудования, которые могут затронуть интересы китайских клиентов. Сторонние эксперты оценивают, что потери выручки крупнейшего поставщика в лице ASML от новых ограничений не превысят 10 %, но сама компания предварительно считает свои потери несущественными.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что поставлять в Китай передовое оборудование для работы с так называемой EUV-литографией компания ASML не может с 2019 года, а новые ограничения коснутся некоторой части литографических сканеров более зрелого поколения DUV, причём в отдельных случаях компании смогут получить необходимые экспортные лицензии. В этом смысле наверняка «повезёт» южнокорейским компаниям Samsung Electronics и SK hynix, которые в КНР располагают крупными предприятиями по производству микросхем памяти, а потому нуждаются в их модернизации и расширении.

Основные параметры новых ограничений до сих пор не определены, как поясняет Reuters, и та же ASML, в частности, не знает, сможет ли она продолжать обслуживать уже проданное китайским клиентам оборудование. В прошлом году до четверти всей выручки компания получала от сервисных контрактов, а продажи оборудования в Китай обеспечили ей 14 % всей выручки. Всего за последние десять лет она поставила китайским клиентам оборудования на сумму 8 млрд евро. Пока ASML продолжает считать, что в текущем году выручит на китайском направлении примерно 2,2 млрд евро, как и в прошлом году, хотя совокупная выручка компании на мировом рынке может вырасти на 25 %. Представители ASML ожидают, что новые ограничения повлияют на возможность поставок лишь малой части оборудования, которое будет отгружаться китайским клиентам.

Министр внешней торговли Нидерландов Лизе Шрайнемахер (Liesje Schreinemacher) на пресс-конференции в четверг пояснила, что подробности новых ограничений ещё предстоит определить. Власти страны будут выдавать экспортные лицензии в индивидуальном порядке и не станут оглядываться на рекомендации США. По оценкам ING, новые ограничения снизят выручку ASML от силы на 10 %. Как считают эксперты, китайские производители будут вынуждены сосредоточиться на зрелой литографии, и это позволит им даже в условиях ограничений нарастить свои мощности. ASML же в конечном счёте наверстает потери китайского рынка на других направлениях.

Госпожа Шрайнемахер также с пониманием отнеслась к реакции китайского МИД на решение Нидерландов ужесточить экспортные ограничения. Китайские чиновники вчера заявили, что новые правила экспортного контроля «ограничивают нормальный экономический и товарный обмен между китайскими и нидерландскими компаниями». Министр Шрайнемахер сообщила, что понимает озабоченность китайской стороны, но рассчитывает на сохранение хороших дипломатических отношений между странами. Китай, по её словам, остаётся крупнейшим внешнеторговым партнёром как для Нидерландов, так и для Европы. «Я надеюсь, что эта взаимная зависимость работает на обоюдную выгоду», — прокомментировала взаимоотношения КНР и Нидерландов министр торговли последней из стран. Она также дала понять, что не следует проводить параллель между введёнными США в начале октября ограничениями и нынешней инициативой Нидерландов. По словам Шрайнемахер, власти последней из стран действуют, руководствуясь собственными оценками.


window-new
Soft
Hard
Тренды 🔥