Сегодня 19 мая 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → asml
Быстрый переход

Нидерланды рассчитывают на введение Японией схожих ограничений на поставку литографического оборудования в Китай

Министр внешней торговли Нидерландов Лизе Шрайнемахер (Liesje Shreinemacher) в интервью японскому изданию Nikkei Asian Review дополнительно подтвердила, что эта страна введёт ограничения на поставку в Китай некоторых видов литографического оборудования, а именно — предназначенного для работы с глубоким ультрафиолетовым излучением (DUV). Госпожа Шрайнемахер рассчитывает, что японские поставщики примут схожие ограничительные меры.

 Источник изображения: ASML

Источник изображения: ASML

При этом чиновница подчёркивает, что предпринимаемые меры не направлены против какой-то конкретной страны, но призывает демократические страны объединять свои усилия в попытках ограничить попадание определённого вида технологий «не в те руки». Оборудование класса DUV, по её словам, уступает по продвинутости EUV-сканерам, но всё равно может использоваться для выпуска чипов, которые пригодны для применения в военных целях.

«Я хочу, чтобы к нам присоединялись как можно больше стран, особенно демократических, когда речь идёт об этих экспортных ограничениях», — заявила Лизе Шрайнемахер. Ещё восьмого марта она направила своё обращение к парламенту Нидерландов в надежде ввести соответствующие ограничения к лету этого года после анализа ситуации с национальной безопасностью. Чиновница верит, что и Япония сможет ввести схожие ограничения со своей стороны: «Я очень открыта к диалогу и дискуссии, которые позволят выявить любые упущения или белые пятна, на которые мы не обратили внимания».

ASML в результате новых санкций против Китая потеряет не более 10 % выручки

Вчера стало известно, что к лету текущего года власти Нидерландов определятся с новыми экспортными ограничениями на поставку литографического оборудования, которые могут затронуть интересы китайских клиентов. Сторонние эксперты оценивают, что потери выручки крупнейшего поставщика в лице ASML от новых ограничений не превысят 10 %, но сама компания предварительно считает свои потери несущественными.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что поставлять в Китай передовое оборудование для работы с так называемой EUV-литографией компания ASML не может с 2019 года, а новые ограничения коснутся некоторой части литографических сканеров более зрелого поколения DUV, причём в отдельных случаях компании смогут получить необходимые экспортные лицензии. В этом смысле наверняка «повезёт» южнокорейским компаниям Samsung Electronics и SK hynix, которые в КНР располагают крупными предприятиями по производству микросхем памяти, а потому нуждаются в их модернизации и расширении.

Основные параметры новых ограничений до сих пор не определены, как поясняет Reuters, и та же ASML, в частности, не знает, сможет ли она продолжать обслуживать уже проданное китайским клиентам оборудование. В прошлом году до четверти всей выручки компания получала от сервисных контрактов, а продажи оборудования в Китай обеспечили ей 14 % всей выручки. Всего за последние десять лет она поставила китайским клиентам оборудования на сумму 8 млрд евро. Пока ASML продолжает считать, что в текущем году выручит на китайском направлении примерно 2,2 млрд евро, как и в прошлом году, хотя совокупная выручка компании на мировом рынке может вырасти на 25 %. Представители ASML ожидают, что новые ограничения повлияют на возможность поставок лишь малой части оборудования, которое будет отгружаться китайским клиентам.

Министр внешней торговли Нидерландов Лизе Шрайнемахер (Liesje Schreinemacher) на пресс-конференции в четверг пояснила, что подробности новых ограничений ещё предстоит определить. Власти страны будут выдавать экспортные лицензии в индивидуальном порядке и не станут оглядываться на рекомендации США. По оценкам ING, новые ограничения снизят выручку ASML от силы на 10 %. Как считают эксперты, китайские производители будут вынуждены сосредоточиться на зрелой литографии, и это позволит им даже в условиях ограничений нарастить свои мощности. ASML же в конечном счёте наверстает потери китайского рынка на других направлениях.

Госпожа Шрайнемахер также с пониманием отнеслась к реакции китайского МИД на решение Нидерландов ужесточить экспортные ограничения. Китайские чиновники вчера заявили, что новые правила экспортного контроля «ограничивают нормальный экономический и товарный обмен между китайскими и нидерландскими компаниями». Министр Шрайнемахер сообщила, что понимает озабоченность китайской стороны, но рассчитывает на сохранение хороших дипломатических отношений между странами. Китай, по её словам, остаётся крупнейшим внешнеторговым партнёром как для Нидерландов, так и для Европы. «Я надеюсь, что эта взаимная зависимость работает на обоюдную выгоду», — прокомментировала взаимоотношения КНР и Нидерландов министр торговли последней из стран. Она также дала понять, что не следует проводить параллель между введёнными США в начале октября ограничениями и нынешней инициативой Нидерландов. По словам Шрайнемахер, власти последней из стран действуют, руководствуясь собственными оценками.

К лету власти Нидерландов определятся с новыми ограничениями на экспорт литографического оборудования в Китай

Помимо США, поставщиками современного оборудования для производства чипов являются Япония и Нидерланды, поэтому в попытках ограничить развитие китайской национальной промышленности первая из стран пыталась консолидировать усилия с двумя другими ещё с осени прошлого года. Теперь выясняется, что Нидерланды свою версию ограничений обнародуют к лету этого года.

 Источник изображения: ASML

Источник изображения: ASML

Об этом сообщает агентство Bloomberg со ссылкой на текст письма, которое министр внешней торговли Нидерландов Лизе Шрайнемахер (Liesje Schreinemacher) направила парламентариям страны. В документе, в частности, говорится следующее: «Нидерланды считают необходимым с точки зрения национальной и международной безопасности, чтобы эта технология попала под контроль как можно скорее». Новые правила экспортного контроля ограничат поставки в Китай некоторых видов оборудования для работы с глубоким ультрафиолетовым излучением (DUV).

ASML, которая уже ознакомилась с черновым вариантом правил, подчеркнула, что они не смогут оказать существенного влияния на её выручку ни в текущем году, ни в более отдалённой перспективе. Компания понимает, что ей придётся подавать заявки на получение разрешения на поставку своих самых продвинутых DUV-систем в Китай. Ограничения распространятся только на часть оборудования для работы с иммерсионной литографией, как добавили представители ASML. Впрочем, точные критерии разграничения оборудования по признаку «продвинутости» пока не определены.

В таком виде, как сообщает источник, экспортные ограничения Нидерландов всё равно окажутся мягче тех, которым подвергаются поставки оборудования в Китай из самих США. Американские производители оборудования вынуждены контролировать типы продукции, выпуск которой могли бы наладить на этом оборудовании китайские клиенты, а также не имеют права отправлять своих специалистов для работы в Китае. Напомним, что поставки оборудования для самой передовой EUV-литографии из Нидерландов ограничены с 2019 года, поэтому сейчас речь идёт о фильтрации поставок куда более зрелого с технологической точки зрения оборудования.

Похитивший секреты ASML бывший сотрудник подозревается в связях с властями Китая

Продолжается расследование по инциденту с похищением технической информации ASML по работе литографического оборудования, которое осуществил подозреваемый бывший сотрудник компании из Китая. Теперь следствие приходит к выводу, что фигурант этого дела мог действовать в интересах поддерживаемой властями Китая структуры, имя которой не называется.

 Источник изображения: ASML

Источник изображения: ASML

Об этом сообщает агентство Bloomberg со ссылкой на источники, знакомые с ходом дела. У следователей есть основания полагать, что подозреваемый бывший сотрудник ASML похитил ценную техническую информацию по заданию и в интересах организации, связанной с властями КНР. Соответствующая структура уже была связана с хищением интеллектуальной собственности, хотя при этом источники не уточняют, идёт ли речь именно о компании Dongfang Jingyuan Electron, фигурировавшей в другом подобном деле.

В расследовании недавнего инцидента принимают участие и представители США, поскольку он может затрагивать их национальные интересы. Предполагается, что похищенная информация попадала под экспортные ограничения США, поскольку соответствующая технология была изначально разработана американскими компаниями. Нидерланды, в которых расположена штаб-квартира ASML, как ожидается, в начале этого года согласились ограничить поставки в Китай части оборудования, используемого при работе с иммерсионной литографией. Компания контролирует более 90 % рынка литографического оборудования, поэтому интерес к её ноу-хау со стороны блокируемой санкциями КНР вполне объясним.

Для ASML китайский рынок является третьим по величине после Тайваня и Южной Кореи. Глава компании Петер Веннинк (Peter Wennink) не так давно заявил, что Китай всё равно разработает всё необходимое для развития национальной промышленности литографическое оборудование, просто на это потребуется какое-то время. Китайская компания Dongfang Jingyuan Electron, основанная выходцем из ASML, ранее уже подозревалась в хищении технических секретов нидерландского конкурента.

У ASML украли технологическую информацию, но не схемы оборудования для выпуска чипов

Секретная информация, которую похитил китайский сотрудник ASML, содержались в репозитории, в котором собирается техническая информация о работе оборудования, пишет Bloomberg. Ранее нидерландский разработчик оборудования для выпуска чипов сообщил, что данные были украдены, но не привёл никаких подробностей.

 Источник изображения: asml.com

Источник изображения: asml.com

Информацию украли из программы Teamcenter, предназначенной для управления жизненным циклом продукта — она активно используется в нидерландской компании. Это общее хранилище технической информации с набором инструментов для совместной разработки продукции. Разработанная Siemens система обеспечивает «общий доступ к единому хранилищу всех связанных с продуктом знаний, данных и процессов». Похищена была технологическая информация, а не схемы производственного оборудования, а совершил правонарушение некий сотрудник в течение последних двух месяцев. Об инциденте уведомлены власти США.

Нидерландская ASML выпускает оборудование для производства самых передовых микросхем, которые используются в широком спектре продукции от электромобилей до военных систем. Никаких дополнительных заявлений она делать не стала, но уже инициировала внутреннее расследование и усилила меры безопасности. Нет ясности, имел ли укравший данные бывший сотрудник ASML связи с китайскими властями, но компания подчеркнула, что существенного значения инцидент для её бизнеса не имеет. Ранее ASML обвинила китайскую Dongfang Jingyuan Electron в краже принадлежащей ей технологии и передаче её китайским властям.

ASML заподозрила бывшего сотрудника в передаче секретной информации Китаю

Руководство нидерландского производителя оборудования для выпуска чипов ASML утверждает, что её бывший сотрудник передал Китаю некую секретную информацию. Это может считаться нарушением правил экспортного контроля США. ASML ещё в 2019 году лишилась возможности поставлять передовое оборудование для выпуска чипов в Китай, а после ужесточения правил экспортного контроля в октябре прошлого года была вынуждена дополнительно сократить ассортимент для КНР.

 Источник изображения: ASML

Источник изображения: ASML

По информации Bloomberg, пока ASML говорит об этом инциденте с некоторой неуверенностью. По крайней мере, на данном этапе ещё нельзя утверждать, что правила экспортного контроля США были нарушены в результате передачи чувствительной для ASML информации китайским властям. Это не первый случай подобных расследований, в прошлом году ASML уже обвиняла одну из пекинских компаний в промышленном шпионаже по итогам инцидента 2015 года.

Нет определённости и в способности китайской стороны использовать полученную от бывшего сотрудника ASML информацию для прогресса в сфере производства чипов, но компания утверждает, что инцидент не должен особо сказаться на финансовых результатах её деятельности. Генеральный директор ASML Петер Веннинк (Peter Wennink) ранее отмечал, что Китай рано или поздно сможет создать всё необходимое для выпуска чипов оборудование собственными силами. Для самой ASML китайский рынок является третьим по величине после Тайваня и Южной Кореи, где сосредоточены контрактные производители чипов и производители микросхем памяти. Компания контролирует около 90 % мирового рынка литографических сканеров.

Призывы властей США к политическому руководству Японии и Нидерландов присоединиться к представленным в октябре экспортным ограничениям первой из стран, по неофициальным данным, материализовались в этом году в некую сделку, об условиях которой стороны публично не говорят. Местным правительствам предстоит внести поправки в законодательство, прежде чем предложенные заокеанскими партнёрами меры вступят в силу. На это может уйти ещё несколько месяцев.

ASML: усиление американских санкций против Китая может вызвать новый дефицит чипов

Петер Веннинк (Peter Wennink), глава нидерландской ASML, являющейся крупнейшим поставщиком оборудования для производства чипов, предупредил, что чрезмерные санкции против Китая со стороны США и её союзников могут вызвать новый дефицит и соответственно рост цен на микросхемы. Сейчас США призывают японские и нидерландские власти ужесточить санкции против Китая.

 Источник изображения: asml.com

Источник изображения: asml.com

Нидерланды и Япония, в которых находятся ключевые поставщики оборудования для производства чипов, уже близки к тому, чтобы поддержать усилия администрации президента США по сдерживанию технологического экспорта в Китай. Уже завтра в Вашингтоне состоится очередной раунд переговоров американской и нидерландской сторон по данному вопросу, пишет Reuters.

Хотя нидерландская сторона и хочет поддержать своего союзника, она пытается продвинуть и собственные тезисы, которые противоречат американской позиции. Во-первых, даже небольшие изменения в цепочках поставок на полупроводниковом рынке грозят повторением дефицита чипов, который с таким трудом удалось преодолеть к концу минувшего года. Во-вторых, эти меры должны в действительности соответствовать соображениям национальной безопасности, а не выглядеть как протекционистская мера для США.

Эти соображения разделяют и в нидерландской компании ASML. «Если меры экспортного контроля зайдут слишком далеко, доступность чипов может снизиться. Это также означает, что наша инфраструктура станет менее эффективной, и цены, скорее всего, вырастут», — цитирует Петера Веннинка Bloomberg. Компания является одним из немногих производителей литографических сканеров, необходимых для выпуска полупроводниковой продукции среднего класса, и единственным поставщиком оборудования для выпуска самых передовых чипов.

Выручка ASML превзошла ожидания и в этом году вырастет ещё на 25 %

Нидерландский холдинг остаётся основным поставщиком передовых литографических сканеров, которые используются при производстве полупроводниковых чипов. В прошлом квартале компания выручила 6,43 млрд евро и получила чистую прибыли в размере 1,82 млрд евро, превзойдя ожидания аналитиков. Руководство ASML рассчитывает на увеличение выручки на 25 % по итогам текущего года.

 Источник изображения: Intel

Источник изображения: Intel

Такой оптимизм, по словам генерального директора ASML Петера Веннинка (Peter Wennink), возможен по причине стратегического характера инвестиций в литографическое оборудование со стороны клиентов, а также в силу робких надежд на восстановление китайской экономики. Обсуждаемые дополнительные запреты на поставку определённого типа оборудования в Китай, по словам руководства ASML, на бизнесе компании сейчас никак не сказываются. Во-первых, ASML по-прежнему сохраняет возможность поставлять в Китай оборудование для работы с литографией, использующей глубокое ультрафиолетовое излучение (DUV), и октябрьские санкции США пока никак её не коснулись. Во-вторых, как отметил Веннинк, в отношении более совершенного EUV-оборудования все риски «давно учтены», поскольку запрет на его поставку в Китай действует уже несколько лет. В прошлом году выручка ASML на 15 % зависела от китайского рынка, и в текущем году данная пропорция должна сохраниться, по словам главы этого производителя литографических сканеров.

«Мы бизнесмены, мы не политики. Мы должны дождаться, пока правительства и политики достигнут какого-то соглашения и придут к разумному решению», — охарактеризовал ситуацию с ожиданием введения новых экспортных ограничений глава компании. Прошлый год ASML завершила с портфелем заказов на сумму 40 млрд евро, что является рекордом, поэтому у руководства есть все основания верить, что выручка по итогам текущего года вырастет сразу на 25 %. Попутно улучшится и норма прибыли по сравнению с прошлым годом, когда на фоне дефицита компонентов у ASML и многих других компаний выросли затраты на их закупку.

Клиенты ASML, по словам Веннинка, ожидают подъёма рынка во втором полугодии. «Учитывая наши длительные циклы поставок и стратегическую природу вложений в литографию, спрос на наши системы остаётся на высоком уровне», — пояснил глава ASML. Спрос на продукцию компании сейчас по-прежнему превышает её производственные возможности. В ноябре компания заявила, что к 2025 году намеревается увеличить выручку до 40 млрд евро, а к 2030 году — до 60 млрд евро. Прошлый год она завершила с выручкой в размере 21,2 млрд евро, но по части контрактов её признание пришлось отложить в связи с изменением стратегии поставок и монтажа оборудования. В 2022 году ASML отгрузила 317 литографических сканеров, тогда как годом ранее их количество не превысило 286 штук. В текущем квартале она рассчитывает выручить от 6,1 до 6,7 млрд евро.

Нидерланды больше не будут по требованию одних лишь США ограничивать поставки оборудования для выпуска чипов в Китай

Министр торговли Нидерландов Лизе Шрайнемахер (Liesje Schreinemacher) заявила, что принятые в октябре 2022 года в США экспортные ограничения в отношении Китая «поменяли правила игры». И если власти Нидерландов с 2019 года запрещали компании ASML поставлять в Китай всё, на что указывали США, то новые ограничения так вводиться не будут. В дальнейшем новые красные флажки будут расставляться только после консультаций с союзниками в Европе и Азии.

 Источник изображения: ASML

Источник изображения: ASML

Ограничения на поставку в Китай литографического оборудования для выпуска полупроводников существовали всегда, хотя они смягчались или усиливались тем или иным образом в отдельные промежутки времени. В 2019 году под давлением администрации Дональда Трампа власти Нидерландов запретили компании ASML поставлять в Китай литографические сканеры диапазона EUV (13,5 нм). Запрет не касался поставок сканеров диапазона DUV (193 нм) даже в самом современном исполнении, что позволило ASML только за 2021 год продать в Китай оборудование на сумму около 2 млрд евро.

В октябре 2022 года в США приняли меры, которые предусматривают также запрет продажи в Китай сканеров диапазона DUV. По словам ASML, этот запрет повлияет примерно на 5 % общих продаж компании. В реальности эта цифра может оказаться выше, поскольку в процесс могут быть вовлечены множество компаний-смежников, которые зависят от поставок из Китая. В любом случае, по словам Лизе Шрайнемахера, никто не будет без консультаций подписываться под этими новыми требованиями.

Консультации будут проведены как с европейскими союзниками, среди которых решающее значение имеет позиция Германии (ASML владеет долей в компании Carl Zeiss и получает от неё оптику для сканеров), так и с азиатскими — из Японии, Тайваня и с другими. Если стороны смогут найти точки соприкосновения, то в отношении Китая будут введены беспрецедентные санкции в области производства полупроводников.

Нидерланды введут ограничения на поставку литографического оборудования в Китай в следующем месяце

Чиновники как с американской, так и с нидерландской стороны в последние дни нередко позволяли себе высказывания на тему совместных переговоров о консолидации ограничительных мер против Китая, и теперь стало известно, что достичь договорённости они могут уже в следующем месяце, запретив поставку некоторого оборудования для производства чипов в КНР.

 Источник изображения: ASML

Источник изображения: ASML

Известно, что Нидерланды являются крупнейшим поставщиком литографических сканеров для производства полупроводниковых компонентов, поскольку в этой стране располагаются предприятия и штаб-квартира ASML. Инициативу в отношении ограничения способности китайских клиентов производить передовые чипы власти Нидерландов проявляли и ранее, с 2019 года запретив поставку в КНР оборудования для работы со сверхжёстким ультрафиолетовым излучением (EUV). Теперь, как сообщает Bloomberg, речь идёт о более прозаичных ограничениях. Как ожидается, теперь власти Нидерландов запретят поставку в Китай оборудования, пригодного для выпуска чипов по 14-нм технологии и более совершенным.

Эта граница более или менее совпадает с требованиями американской стороны, поскольку в начале октября власти США запретили подконтрольным компаниям поставлять в КНР оборудование, позволяющее выпускать логические микросхемы по технологии 16 нм с использованием FinFET-структуры транзисторов. Если власти Нидерландов продемонстрируют солидарность с США, то за пределами ограничений останутся только японские поставщики литографического оборудования, которые пока сохраняют возможность сотрудничества с китайскими клиентами.

Нельзя сказать, что консолидация экспортных ограничений с США дастся властям Нидерландов легко. У той же ASML выручка от реализации оборудования в КНР достигает 15–16 % от совокупной, и для неё потеря китайского рынка является менее желательным событием, чем отказ от сотрудничества с США. Впрочем, у заокеанских партнёров есть рычаги влияния на Нидерланды, ведь оборудование ASML частично использует американские технологии. По некоторым оценкам, новый запрет фактически лишит ASML возможности поставлять в Китай сканеры для работы с иммерсионной литографией. В конце ноября делегация американских чиновников посетила Нидерланды для проведения переговоров с местными коллегами, положительно оценив их итоги.

ASML будет поглощать различные компании для поддержания активного роста

На недавней конференции для инвесторов руководство нидерландской компании ASML пообещало к концу десятилетия увеличить выручку до 60 млрд евро в год. Ради обеспечения подобных темпов экспансии бизнеса, как дал понять глава холдинга, ASML готова на поглощение профильных активов. Такое заявление он сделал на церемонии закладки фундамента учебного центра в Южной Корее для местных клиентов.

 Источник изображения: ASML

Источник изображения: ASML

Расширение географии центров ASML по подготовке персонала обслуживаемых компаний-клиентов — это ещё одна специфическая черта политики ASML последних лет. На территории Тайваня у компании уже действует учебный центр, который был открыт ещё летом 2020 года, теперь пришла пора организовать площадку для обучения персонала клиентов в Южной Корее. В его строительство компания вложила $181 млн, функционировать данное подразделение начнёт к 2024 году. На его территории персонал корейских клиентов ASML сможет проходить подготовку к работе с профильным оборудованием, а также будет осуществляться ремонт такого оборудования.

По словам генерального директора ASML Петера Веннинка (Peter Wennink), строительство учебного центра в Южной Корее — это только начало. Развитие бизнеса компании требует расширения перечня поставщиков, поэтому на определённом этапе не исключается вероятность покупки профильных активов. Напомним, что к 2025 году компания рассчитывает нарастить ежегодную выручку до 40 млрд евро, а к концу десятилетия увеличить её ещё в полтора раза. Даже не самая благоприятная макроэкономическая ситуация, по словам Веннинка, не уменьшает спроса на продукцию ASML, и портфель заказов до конца следующего года в результате ничуть не сократился.

К середине десятилетия ASML надеется ежегодно выпускать по 90 литографических сканеров передового класса EUV, а также по 600 DUV-сканеров, пригодных для производства полупроводниковых компонентов с использованием более зрелых технологических норм. В сфере поставок литографических сканеров ASML является почти полным монополистом. Это почти единственная компания в этом сегменте рынка, базирующаяся в Европе, а не США или Японии.

Даже полная потеря доступа к китайскому рынку не помешает ASML увеличить выручку до 60 млрд евро к концу десятилетия

В первой половине октября США ввели дополнительные ограничения на экспорт в КНР из третьих стран оборудования, пригодного для выпуска определённой номенклатуры полупроводниковых компонентов. Базирующаяся в Нидерландах ASML дала понять, что на её деятельности данные санкции почти никак не скажутся, и даже полная потеря рынка КНР не помешает ей к концу десятилетия нарастить выручку до 60 млрд евро.

 Источник изображения: ASML

Источник изображения: ASML

Как уже отмечалось ранее, ASML изначально не успела наладить поставки в КНР передового оборудования для работы с EUV-литографией, поскольку соответствующий запрет со стороны властей Нидерландов вступил в силу ещё три года назад, при прежнем президенте США. На поставках более зрелого DUV-оборудования в Китай новые санкции США пока не сказываются. По информации Bloomberg, руководство ASML оценивает возможное влияние октябрьских санкций США на свой бизнес максимум в 5 % утраченной выручки.

Генеральный директор Петер Веннинк (Peter Wennink) на недавнем мероприятии для инвесторов дал понять, что даже если китайские клиенты ASML будут полностью исключены из прогноза по развитию бизнеса компании, спрос на других направлениях деятельности будет достаточно высоким, чтобы компенсировать это. «Запинка может быть только временной, чипы всё равно нужно производить», — пояснил он.

Любые возможные проблемы ASML в Китае не могут существенно изменить прогноз на текущее десятилетие. К 2025 году компания рассчитывает увеличить выручку до 40 млрд в год, а к концу десятилетия этот показатель достигнет 60 млрд евро. Веннинк также добавил, что стремление властей отдельных стран и регионов к так называемому «технологическому суверенитету» внушает ему уверенность в том, что бизнес компании будет расти стабильными темпами. Строительство локальных предприятий в новых регионах потребует закупки технологического оборудования, которое как раз и реализует компания ASML.

Спрос на оборудование ASML для выпуска чипов достиг рекордного уровня — прибыль устойчиво растёт и санкции против Китая не страшны

Компания ASML отчиталась о рекордных доходах и прибылях — спрос на оборудование для производства чипов остаётся чрезвычайно высоким несмотря на замедление продаж ПК и смартфонов. Портфель заказов, включая оборудование для DUV и EUV литографии превышает $38 млрд, поскольку производители чипов продолжают инвестировать в расширение мощностей.

 Источник изображения: ASML

Источник изображения: ASML

Известно, что ASML продолжает продавать оборудование для DUV-литографии китайским клиентам, а покупатели EUV-оборудования ориентированы на новейшие решения, позволяющие работать со сверхжёсткой ультрафиолетовой литографией при высоком значении числовой апертуры (High-NA EUV).

Продажи ASML в третьем квартале составили €5,8 млрд, продано более 80 новых литографических систем, включая 12 EUV-инструментов и 74 DUV-машины, а также шесть бывших в употреблении сканеров.

Прибыль в квартале составила €2,994 млрд, а валовая прибыль достигла 51,8 %. Известно, что некоторые клиенты предпочитают т.н. «быстрые поставки», когда пропускаются этапы промежуточного тестирования, а финальное происходит уже на площадке клиента.

Хотя спрос на многие типы чипов падает, производители ожидают, что ситуация исправится и чипы окажутся востребованными уже в 2024-2025 годах, к этому времени компаниям понадобятся новые мощности. В частности, новые заводы строят Intel, Micron, Samsung и SK hynix.

Портфель заказов ASML превышает $38 млрд (против $33 млрд во втором квартале) — включая 600 DUV-сканеров и более 100 EUV-сканеров, многие из которых заказываются впрок, для сохранения странами технологического суверенитета в выпуске чипов. На то, чтобы выполнить все заказы, у компании уйдут годы, поскольку в 2023 году планируется выпустить порядка 375 DUV-машин и более 50 EUV.

 Источник изображения: ASML

Источник изображения: ASML

Ожидается, что в 4 квартале продажи составят 6,1-6,6 млрд евро, а валовая прибыль достигнет 49 %. За год доход составит €21,1 млрд, а валовая прибыль — 50 %.

В отличие от производителей аналогичного оборудования из США, в ASML не снижают прогнозов продаж на 4 квартал — американский бизнес вынужден считаться с законами, блокирующими продажи оборудования китайскому бизнесу без специальной экспортной лицензии. Поскольку ASML находится в Нидерландах и почти не использует американских комплектующих в DUV-машинах, она может поставлять большинство своих решений соответствующего уровня компаниям вроде Semiconductor Manufacturing International Co. (SMIC) и Yangtze Memory Technology Co. (YMTC).

Впрочем, ASML не может поставлять китайским клиентам EUV-сканеры, использующие американские технологии. Поскольку компания не собиралась продавать подобное оборудование в Китай изначально, новые санкции никак не повлияют на прогнозы доходности ASML в следующем квартале и в 2022 году в целом.

 Источник изображения: ASML

Источник изображения: ASML

Санкции могут подействовать на бизнес ASML косвенным образом. Помимо продуктов компании, производителям требуется и другое оборудование, продажу которого в Китай могут заблокировать, что скажется на спросе и на DUV-машины, а некоторые заказы могут отменить. Впрочем, китайские полупроводниковые компании могут рассчитывать на гигантские государственные средства для закупки подобного оборудования впрок, пока не появится возможность приобрести дополнительные машины для производственной цепочки тем или иным способом. Так или иначе, даже если спрос со стороны Китая упадёт, для ASML общий спрос всё равно пока значительно превышает предложение.

Поступают и новые заказы на передовой High-NA EUV сканер Twinscan EXE:5200, позволяющий производителям перейти на очередной уровень EUV-литографии. Предполагается, что в числе клиентов — Intel, Samsung Foundry и TSMC, а также SK Hynix. Не исключено, что первый заказ сделала и Micron, которая строит новые заводы в США. Ещё в мае сообщалось, что у ASML уже появилось пять покупателей на сканеры нового поколения.

В целом спрос на оборудование так высок, что портфель заказов увеличился до $38 млрд в 3 квартале, на $5 млрд за квартал. Прогнозируется, что даже полная потеря китайских клиентов останется незамеченной для ASML как минимум в течение двух лет.

ASML заявила, что новые антикитайские санкции США не смогут навредить её бизнесу

Квартальная отчётность крупнейшего в мире поставщика литографических сканеров — нидерландского холдинга ASML, изучается инвесторами не менее пристально, чем отчёты контрактного производителя чипов TSMC. Представители ASML на отчётном мероприятии сообщили о достижении ряда финансовых рекордов, а также заявили, что не ожидают существенного влияния на свой бизнес новых антикитайских санкций США.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что ранее в этом месяце власти США ограничили поставку в Китай определённого ассортимента литографического оборудования, которое пригодно для выпуска 16-нм и более совершенной продукции. В случае с микросхемами оперативной памяти ограничение прошло по линии 18 нм, а в случае с микросхемами флеш-памяти оборудование не должно позволить выпускать чипы с более чем 128 слоями.

Руководство ASML, по словам Reuters, не видит угрозы для существующих поставок своего оборудования в Китай, которые обеспечивают компанию примерно 16 % выручки, по данным за 2021 год. Дело в том, что литографические сканеры ASML производится в Европе и не содержат большого количества компонентов американского происхождения. По сути, как пояснили представители компании, она сохраняет возможность поставлять в Китай оборудование, которое непригодно для работы со сверхжёстким ультрафиолетовым излучением (EUV).

Кроме того, ASML продолжает отставать от спроса на своё оборудование, поэтому даже если какие-то позиции она не сможет предлагать китайским клиентам, то они будут куплены заказчиками из других стран. В целом, власти Нидерландов уже контролируют экспорт такого оборудования в Китай с 2019 года, поэтому ASML не приходится привыкать к действию санкций в этой сфере.

Среди прочих актуальных факторов риска генеральный директор ASML Петер Веннинк (Peter Wennink) упомянул инфляцию, неуверенность клиентов в будущем и риск глобальной рецессии. Спрос на продукцию компании остаётся высоким, ASML уже располагает портфелем заказов на сумму около 30 млрд евро. В одном только третьем квартале было получено заказов на 8,9 млрд евро. Чистая прибыль компании в минувшем квартале достигла 1,7 млрд евро, выручка составила 5,8 млрд евро, что оказалось выше ожиданий аналитиков.

Акции ASML упали после заявления TSMC о сокращении затрат

На вчерашней квартальной отчётной конференции финансовый директор TSMC Уэнделл Хуанг (Wendell Huang) был вынужден признаться, что сокращение капитальных расходов на $4 млрд по итогам текущего года будет обусловлено двумя факторами: снижением спроса на 7-нм продукцию и задержкой с поставками необходимого литографического оборудования. Оба фактора повлияли на снижение затрат TSMC примерно в равной степени, и последний оказал негативное влияние на котировки акций ASML.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что нидерландский холдинг ASML является крупнейшим в мире поставщиком литографических сканеров, которые необходимы для выпуска всей полупроводниковой продукции. Представители TSMC отметили, что партнёр не укладывается в намеченный график поставки литографического оборудования по причине наличия дефицита компонентов, необходимых для их выпуска. Кроме того, сама TSMC немного ускоряет освоение 3-нм техпроцесса, а это увеличивает потребность компании в передовом литографическом оборудовании, которое как раз и производит ASML. Ранее отмечалось, что на способность последней из компаний выпускать литографические сканеры могли повлиять и перебои с поставками технических газов с территории Украины и России, поскольку те используются в лазерных блоках соответствующего оборудования.

Как поясняет Reuters, официальные представители ASML не смогли прокомментировать ситуацию, поскольку это им запрещено законом в свете близости выхода очередного квартального отчёта, который намечен на понедельник, но акции производителя литографического оборудования потеряли в цене 9,1 % после заявлений руководства TSMC о необходимости сократить капитальные затраты в этом году с $40 до $36 млрд. Подчеркнём, из достигаемой TSMC «экономии» лишь $2 млрд должны были достаться ASML до конца года за поставку оборудования, но даже руководство первой из компаний ранее отмечало, что соответствующие средства будут переведены контрагенту, но уже в будущих периодах.

В 2021 году 44 % выручки ASML формировали именно тайваньские клиенты, главным среди которых является TSMC. По собственным прогнозам ASML, в третьем квартале компания должна была выручить от 5,1 до 5,4 млрд евро. Аналитики ING считают, что любые неурядицы полупроводникового рынка должны в минимальной степени влиять на бизнес ASML, поскольку спрос на такое оборудование выше предложения, а инфляция толкает цены и доходы компании вверх. В конкретной ситуации для ASML сохраняется риск краткосрочного снижения выручки из-за задержки поставок оборудования, а угроза снижения нормы прибыли в этом смысле даже выше, поскольку затраты растут.


window-new
Soft
Hard
Тренды 🔥