Сегодня 02 июня 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → asml
Быстрый переход

Даже полная потеря доступа к китайскому рынку не помешает ASML увеличить выручку до 60 млрд евро к концу десятилетия

В первой половине октября США ввели дополнительные ограничения на экспорт в КНР из третьих стран оборудования, пригодного для выпуска определённой номенклатуры полупроводниковых компонентов. Базирующаяся в Нидерландах ASML дала понять, что на её деятельности данные санкции почти никак не скажутся, и даже полная потеря рынка КНР не помешает ей к концу десятилетия нарастить выручку до 60 млрд евро.

 Источник изображения: ASML

Источник изображения: ASML

Как уже отмечалось ранее, ASML изначально не успела наладить поставки в КНР передового оборудования для работы с EUV-литографией, поскольку соответствующий запрет со стороны властей Нидерландов вступил в силу ещё три года назад, при прежнем президенте США. На поставках более зрелого DUV-оборудования в Китай новые санкции США пока не сказываются. По информации Bloomberg, руководство ASML оценивает возможное влияние октябрьских санкций США на свой бизнес максимум в 5 % утраченной выручки.

Генеральный директор Петер Веннинк (Peter Wennink) на недавнем мероприятии для инвесторов дал понять, что даже если китайские клиенты ASML будут полностью исключены из прогноза по развитию бизнеса компании, спрос на других направлениях деятельности будет достаточно высоким, чтобы компенсировать это. «Запинка может быть только временной, чипы всё равно нужно производить», — пояснил он.

Любые возможные проблемы ASML в Китае не могут существенно изменить прогноз на текущее десятилетие. К 2025 году компания рассчитывает увеличить выручку до 40 млрд в год, а к концу десятилетия этот показатель достигнет 60 млрд евро. Веннинк также добавил, что стремление властей отдельных стран и регионов к так называемому «технологическому суверенитету» внушает ему уверенность в том, что бизнес компании будет расти стабильными темпами. Строительство локальных предприятий в новых регионах потребует закупки технологического оборудования, которое как раз и реализует компания ASML.

Спрос на оборудование ASML для выпуска чипов достиг рекордного уровня — прибыль устойчиво растёт и санкции против Китая не страшны

Компания ASML отчиталась о рекордных доходах и прибылях — спрос на оборудование для производства чипов остаётся чрезвычайно высоким несмотря на замедление продаж ПК и смартфонов. Портфель заказов, включая оборудование для DUV и EUV литографии превышает $38 млрд, поскольку производители чипов продолжают инвестировать в расширение мощностей.

 Источник изображения: ASML

Источник изображения: ASML

Известно, что ASML продолжает продавать оборудование для DUV-литографии китайским клиентам, а покупатели EUV-оборудования ориентированы на новейшие решения, позволяющие работать со сверхжёсткой ультрафиолетовой литографией при высоком значении числовой апертуры (High-NA EUV).

Продажи ASML в третьем квартале составили €5,8 млрд, продано более 80 новых литографических систем, включая 12 EUV-инструментов и 74 DUV-машины, а также шесть бывших в употреблении сканеров.

Прибыль в квартале составила €2,994 млрд, а валовая прибыль достигла 51,8 %. Известно, что некоторые клиенты предпочитают т.н. «быстрые поставки», когда пропускаются этапы промежуточного тестирования, а финальное происходит уже на площадке клиента.

Хотя спрос на многие типы чипов падает, производители ожидают, что ситуация исправится и чипы окажутся востребованными уже в 2024-2025 годах, к этому времени компаниям понадобятся новые мощности. В частности, новые заводы строят Intel, Micron, Samsung и SK hynix.

Портфель заказов ASML превышает $38 млрд (против $33 млрд во втором квартале) — включая 600 DUV-сканеров и более 100 EUV-сканеров, многие из которых заказываются впрок, для сохранения странами технологического суверенитета в выпуске чипов. На то, чтобы выполнить все заказы, у компании уйдут годы, поскольку в 2023 году планируется выпустить порядка 375 DUV-машин и более 50 EUV.

 Источник изображения: ASML

Источник изображения: ASML

Ожидается, что в 4 квартале продажи составят 6,1-6,6 млрд евро, а валовая прибыль достигнет 49 %. За год доход составит €21,1 млрд, а валовая прибыль — 50 %.

В отличие от производителей аналогичного оборудования из США, в ASML не снижают прогнозов продаж на 4 квартал — американский бизнес вынужден считаться с законами, блокирующими продажи оборудования китайскому бизнесу без специальной экспортной лицензии. Поскольку ASML находится в Нидерландах и почти не использует американских комплектующих в DUV-машинах, она может поставлять большинство своих решений соответствующего уровня компаниям вроде Semiconductor Manufacturing International Co. (SMIC) и Yangtze Memory Technology Co. (YMTC).

Впрочем, ASML не может поставлять китайским клиентам EUV-сканеры, использующие американские технологии. Поскольку компания не собиралась продавать подобное оборудование в Китай изначально, новые санкции никак не повлияют на прогнозы доходности ASML в следующем квартале и в 2022 году в целом.

 Источник изображения: ASML

Источник изображения: ASML

Санкции могут подействовать на бизнес ASML косвенным образом. Помимо продуктов компании, производителям требуется и другое оборудование, продажу которого в Китай могут заблокировать, что скажется на спросе и на DUV-машины, а некоторые заказы могут отменить. Впрочем, китайские полупроводниковые компании могут рассчитывать на гигантские государственные средства для закупки подобного оборудования впрок, пока не появится возможность приобрести дополнительные машины для производственной цепочки тем или иным способом. Так или иначе, даже если спрос со стороны Китая упадёт, для ASML общий спрос всё равно пока значительно превышает предложение.

Поступают и новые заказы на передовой High-NA EUV сканер Twinscan EXE:5200, позволяющий производителям перейти на очередной уровень EUV-литографии. Предполагается, что в числе клиентов — Intel, Samsung Foundry и TSMC, а также SK Hynix. Не исключено, что первый заказ сделала и Micron, которая строит новые заводы в США. Ещё в мае сообщалось, что у ASML уже появилось пять покупателей на сканеры нового поколения.

В целом спрос на оборудование так высок, что портфель заказов увеличился до $38 млрд в 3 квартале, на $5 млрд за квартал. Прогнозируется, что даже полная потеря китайских клиентов останется незамеченной для ASML как минимум в течение двух лет.

ASML заявила, что новые антикитайские санкции США не смогут навредить её бизнесу

Квартальная отчётность крупнейшего в мире поставщика литографических сканеров — нидерландского холдинга ASML, изучается инвесторами не менее пристально, чем отчёты контрактного производителя чипов TSMC. Представители ASML на отчётном мероприятии сообщили о достижении ряда финансовых рекордов, а также заявили, что не ожидают существенного влияния на свой бизнес новых антикитайских санкций США.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что ранее в этом месяце власти США ограничили поставку в Китай определённого ассортимента литографического оборудования, которое пригодно для выпуска 16-нм и более совершенной продукции. В случае с микросхемами оперативной памяти ограничение прошло по линии 18 нм, а в случае с микросхемами флеш-памяти оборудование не должно позволить выпускать чипы с более чем 128 слоями.

Руководство ASML, по словам Reuters, не видит угрозы для существующих поставок своего оборудования в Китай, которые обеспечивают компанию примерно 16 % выручки, по данным за 2021 год. Дело в том, что литографические сканеры ASML производится в Европе и не содержат большого количества компонентов американского происхождения. По сути, как пояснили представители компании, она сохраняет возможность поставлять в Китай оборудование, которое непригодно для работы со сверхжёстким ультрафиолетовым излучением (EUV).

Кроме того, ASML продолжает отставать от спроса на своё оборудование, поэтому даже если какие-то позиции она не сможет предлагать китайским клиентам, то они будут куплены заказчиками из других стран. В целом, власти Нидерландов уже контролируют экспорт такого оборудования в Китай с 2019 года, поэтому ASML не приходится привыкать к действию санкций в этой сфере.

Среди прочих актуальных факторов риска генеральный директор ASML Петер Веннинк (Peter Wennink) упомянул инфляцию, неуверенность клиентов в будущем и риск глобальной рецессии. Спрос на продукцию компании остаётся высоким, ASML уже располагает портфелем заказов на сумму около 30 млрд евро. В одном только третьем квартале было получено заказов на 8,9 млрд евро. Чистая прибыль компании в минувшем квартале достигла 1,7 млрд евро, выручка составила 5,8 млрд евро, что оказалось выше ожиданий аналитиков.

Акции ASML упали после заявления TSMC о сокращении затрат

На вчерашней квартальной отчётной конференции финансовый директор TSMC Уэнделл Хуанг (Wendell Huang) был вынужден признаться, что сокращение капитальных расходов на $4 млрд по итогам текущего года будет обусловлено двумя факторами: снижением спроса на 7-нм продукцию и задержкой с поставками необходимого литографического оборудования. Оба фактора повлияли на снижение затрат TSMC примерно в равной степени, и последний оказал негативное влияние на котировки акций ASML.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что нидерландский холдинг ASML является крупнейшим в мире поставщиком литографических сканеров, которые необходимы для выпуска всей полупроводниковой продукции. Представители TSMC отметили, что партнёр не укладывается в намеченный график поставки литографического оборудования по причине наличия дефицита компонентов, необходимых для их выпуска. Кроме того, сама TSMC немного ускоряет освоение 3-нм техпроцесса, а это увеличивает потребность компании в передовом литографическом оборудовании, которое как раз и производит ASML. Ранее отмечалось, что на способность последней из компаний выпускать литографические сканеры могли повлиять и перебои с поставками технических газов с территории Украины и России, поскольку те используются в лазерных блоках соответствующего оборудования.

Как поясняет Reuters, официальные представители ASML не смогли прокомментировать ситуацию, поскольку это им запрещено законом в свете близости выхода очередного квартального отчёта, который намечен на понедельник, но акции производителя литографического оборудования потеряли в цене 9,1 % после заявлений руководства TSMC о необходимости сократить капитальные затраты в этом году с $40 до $36 млрд. Подчеркнём, из достигаемой TSMC «экономии» лишь $2 млрд должны были достаться ASML до конца года за поставку оборудования, но даже руководство первой из компаний ранее отмечало, что соответствующие средства будут переведены контрагенту, но уже в будущих периодах.

В 2021 году 44 % выручки ASML формировали именно тайваньские клиенты, главным среди которых является TSMC. По собственным прогнозам ASML, в третьем квартале компания должна была выручить от 5,1 до 5,4 млрд евро. Аналитики ING считают, что любые неурядицы полупроводникового рынка должны в минимальной степени влиять на бизнес ASML, поскольку спрос на такое оборудование выше предложения, а инфляция толкает цены и доходы компании вверх. В конкретной ситуации для ASML сохраняется риск краткосрочного снижения выручки из-за задержки поставок оборудования, а угроза снижения нормы прибыли в этом смысле даже выше, поскольку затраты растут.

ASML приказала сотрудникам из США остановить работу с клиентами из Китая

Нидерландская компания ASML, являющаяся ведущим мировым производителем оборудования для выпуска полупроводников, предписала своим сотрудникам в США воздержаться от обслуживания клиентов из Китая в соответствии с новыми санкционными мерами, принятыми в отношении Поднебесной администрацией американского президента Джо Байдена (Joe Biden). Об этом свидетельствует письмо для внутреннего пользования, попавшее в распоряжение журналистов.

 Источник изображения: ASML

Источник изображения: ASML

Согласно тексту письма, отправленного руководством американского подразделения местным сотрудникам, те «должны воздерживаться — прямо или косвенно — от обслуживания, поставок или обеспечения поддержки всем клиентам из Китая до дальнейших указаний». В то же время руководители обещают уточнить специфику новых американских ограничений. Запрет распространяется на всех сотрудников из США, включая граждан, обладателей «гринкарт» и просто иностранцев, работающих в стране.

На прошлой неделе США запретили продавать китайским компаниям передовое оборудование для выпуска чипов без получения специальной лицензии. Также введены ограничения по найму американских граждан китайскими технологиическими компаниями. Это сделано для того, чтобы ограничить возможности экономического и военного развития Китая.

ASML приходится балансировать, пытаясь не испортить отношения ни с США, ни с Китаем, являющимся одним из ключевых рынков для компании. Пока она продавала более старое литографическое DUV-оборудование китайским клиентам, но воздерживалась от поставок машин для передовой EUV-литографии.

Представитель ASML подтвердил журналистам Bloomberg существование и содержание письма, текст которого появился в среду в социальных сетях, но подчеркнул, что оно предназначалось исключительно для внутреннего пользования. По его словам, компания принимает превентивные меры для того, чтобы её деятельность соответствовала всем требованиям новых американских законов. Дальнейшие инструкции последуют после того, как будет проведена оценка ситуации.

Многие американские поставщики уже начали отзывать сотрудников из расположения одного из крупнейших китайских производителей чипов — выпускающей память компании Yangtze Memory Technologies Co. (YMTC). Компании Applied Materials Inc., KLA Corp. и Lam Research Corp. либо уже начали, либо готовят релокацию сотрудников.

Canon бросит вызов ASML — компания наладит выпуск машин для производства чипов с передовой литографией, но без EUV

Японская Canon разрабатывает литографическое оборудование нового поколения для выпуска полупроводников, способное конкурировать с продукцией голландской ASML, являющейся практически монополистом на рынке подобных решений. Строительство нового завода в Японии также станет ответом на инвестиции конкурентов в соответствующую сферу в США, Южной Корее и на Тайване.

 Источник изображения: Canon

Источник изображения: Canon

Ожидается, что объёмы инвестиций составят $345 млн, включая стоимость строительства и установку производственного оборудования. Завод начнёт производство уже весной 2025 года. В результате компания удвоит свои производственные мощности в данной нише. Компания не просто намерена расширить производство, но и делает ставку на новые технологии, благодаря которым можно будет выпускать полупроводники нового поколения по низким ценам. Сегодня она выпускает литографическое оборудование на двух заводах в Японии, которое используется для выпуска чипов, например, для систем управления автомобилями.

Новый завод будет построен на участке площадью 70 тыс. м2 на территории уже существующего производства. Это будет первый новый завод по выпуску литографического оборудования, построенный Canon за 21 год, возведение начнётся в 2023 году. Ожидается, что в 2022 году продажи литографического оборудования год к году вырастут на 29 % до 180 машин — вчетверо больше показателей десятилетней давности. Новый завод позволит удовлетворить растущий спрос.

По данным World Semiconductor Trade Statistics, в прошлом году мировой рынок полупроводников впервые в истории превысил $500 млрд, В индустрии ожидают, что в 2030 году он превысит $1 трлн. Сегодня Canon контролирует 30 % мирового рынка литографического оборудования по объёму, уступая только ASML, на долю которой приходится 60 %. Intel и Taiwan Semiconductor Manufacturing Co. (TSMC) анонсировали планы построить новые собственные заводы в США и других странах. Их примеру решила последовать и Canon.

Компания также разработала технологию следующего поколения, называемую нанопечатной литографией. Это позволяет выпускать самые передовые микросхемы по более низким ценам в сравнении с уже действующим литографическим оборудованием. Процесс упрощён благодаря методике, позволяющей буквально «штамповать» рисунки интегральных схем, что позволяет существенно снизить стоимость производства — в разработке технологии принимали участие японские Kioxia и Dai Nippon Printing.

Сегодня самой незаменимой является технология, использующая EUV-литографию для формирования схем на нанометровом уровне. Единственным источником подобных технологий сегодня является нидерландская ASML. Тем не менее подобное оборудование дорого, стоит около $138 млн за машину и потребляет много энергии. Если нанопечатная литография достигнет стадии практического коммерческого использования, в Canon рассчитывают, что сокращение себестоимости литографии составит до 40 %, а энергопотребления — до 90 % в сравнении с EUV. Это позволит пошатнуть доминирование ASML на рынке.

Выделенных Евросоюзом инвестиций не хватит, чтобы захватить 20 % мирового рынка полупроводников

Евросоюз недостаточно финансирует свою полупроводниковую отрасль, считает Курт Зиверс (Kurt Sievers), главный исполнительный директор NXP Semiconductors. Это не позволит добиться амбициозных целей региона по завоеванию значительной доли на мировом рынке полупроводников.

 Источник изображения: Pixabay

Источник изображения: Pixabay

«Объем финансирования, который ЕС хочет вложить в полупроводниковую промышленность, просто не позволит ей достичь провозглашённой цели — занять 20-процентную долю мирового рынка полупроводников к 2030 году, — сказал Зиверс, выступая на техническом саммите Global Foundries в Дрездене. — Мы подсчитали, что нам потребуется 500 миллиардов евро инвестиций в Европе, чтобы достичь 20-процентной доли рынка, сформулированной в Законе о чипах ЕС». Это гораздо больше, чем 43 миллиарда евро, которые были заявлены в феврале, при объявлении закона о финансировании.

Эндрю Басс (Andrew Buss), директор по исследованиям европейской инфраструктуры предприятий в IDC, сказал, что он согласен с тем, что текущий уровень предлагаемого финансирования кажется несколько заниженным, но в такого рода начинаниях важно «с чего-то начать». «Как только процесс будет запущен, вы вскоре увидите, как все начинает меняться», — сказал он, упомянув о принятом правительством США Законе о чипах, который уже начал показывать успехи в амбициозной программе по модернизации американской полупроводниковой промышленности. Однако создание надёжной полупроводниковой промышленности мирового класса требует большего, чем просто вливание денег, добавляет он, призывая к тщательному планированию, а также к первоочередному развитию собственных производственных процессов в Европе вместо того, чтобы полагаться на такие компании, как Intel и TSMC.

«Достижение 20 % на мировом рынке полупроводников с сегодняшних 10 % потребует утроения или даже четырёхкратного увеличения производственных мощностей, представленных в странах ЕС, что является сложной задачей, — сказал Басс — Однако у Европы есть одно преимущество — присутствие в регионе нидерландской компании ASML, ключевого поставщика жизненно важного оборудования, необходимого для производства полупроводников».

 Строительство нового производственного цеха компании ASML. Источник изображения: Shutterstock

Строительство нового производственного цеха компании ASML. Источник изображения: Shutterstock

Объем инвестиций, предоставляемых правительствами разных стран для стимулирования производства чипов, уже неоднократно подвергался сомнению. Вице-президент компании Gartner Ричард Гордон (Richard Gordon) отметил, что даже инвестиции в рамках Закона о чипах, скорее всего, будут недостаточными.

«52 миллиарда долларов — это капля в море по сравнению с тем, сколько полупроводниковые компании, такие как Samsung и Intel, планируют инвестировать в производство течение следующего десятилетия. Речь идёт о сотнях миллиардов. Я думаю, что многие люди просто не до конца понимают, сколько инвестиций требует производство микросхем», — сказал он.

EUV-сканерами ASML в ближайшие полтора года будут оснащаться 8 новых предприятий

На квартальном отчётном мероприятии представители ASML уделили немало внимания теме поставок оборудования для работы с EUV-литографией, которое используется при производстве чипов с нормами менее 10 нм. Во-первых, сейчас компания располагает заказами на 100 литографических сканеров такого класса. Во-вторых, в ближайшие полтора года ими будут снабжаться восемь новых предприятий, возводимых клиентами компании.

 Источник изображения: ASML

Источник изображения: ASML

Только эти два показателя способны продемонстрировать, насколько велик интерес производителей чипов к передовой литографии. Лишь в одном только следующем году ASML должна поставить клиентам 60 сканеров для работы с EUV-литографией. В ближайшие полтора года, по оценкам руководства ASML, компания не сможет удовлетворять спрос на подобное оборудование в полной мере. В денежном выражении портфель заказов ASML сейчас тянет на 33 млрд евро, причём 85 % этой суммы имеют отношение именно к EUV-оборудованию.

Сформирована у ASML и программа поставок до 2025 года. Она подразумевает отгрузку 90 сканеров для работы с EUV при значении числовой апертуры 0,33, а также 20 систем со значением числовой апертуры 0,55. Последние будут применяться при выпуске продукции с технологическими нормами менее 2 нм. Ранее представители ASML заявляли, что у компании уже есть не менее пяти клиентов на получение такого литографического оборудования. Как минимум, TSMC и Intel в их число точно входят.

Кстати, старая добрая DUV-литография продолжает играть важную роль в производственной деятельности ASML. В следующем году компания отгрузит 375 литографических сканеров данного поколения, а всего до 2025 года планирует увеличить это количество до 600 штук.

Возвращаясь к производственным планам клиентов, ASML говорит о необходимости поставок EUV-сканеров на восемь новых предприятий в течение ближайших полутора лет, причём каждое из них в месяц способно обрабатывать по 40 тысяч кремниевых пластин. Получается, что сообща восемь предприятий смогут выпускать более 300 тысяч кремниевых пластин с чипами, обработанными по самым современным техпроцессам. Для справки, компания TSMC за весь прошлый год выпустила около 14,2 млн кремниевых пластин в эквивалентном размере 300 мм.

ASML: за последние три года значительно увеличился спрос на старые техпроцессы

Тайваньская компания TSMC не скрывает своих намерений впервые за долгие годы увеличить объёмы выпуска продукции с использованием зрелой литографии, поэтому её поставщик оборудования в лице ASML данную тенденцию тоже подтверждает. Оказывается, высокий спрос на старые техпроцессы обусловлен стремлением участников рынка сдержать рост энергопотребления компонентов. В моду входят крупные кристаллы, как добавляют представители ASML.

 Источник изображения: ASML

Источник изображения: ASML

До сих пор, как известно, развитие полупроводниковой отрасли подчинялось так называемому закону Мура — эмпирическому правилу, определявшему ритмичность увеличения плотности размещения транзисторов. Размеры кристаллов процессоров постепенно уменьшались, если рассматривать фиксированный уровень их производительности. Глава ASML Петер Веннинк (Peter Wennink) на квартальном отчётном мероприятии заявил, что компания считает целесообразным удовлетворять потребность рынка в создании процессоров с более высокой производительностью и меньшим энергопотреблением за счёт изготовления более крупных кристаллов. Плотность теплового потока в этом случае снижается, на охлаждение процессоров требуется меньше энергии, а это позволяет снизить вред для окружающей среды.

Во-вторых, спрос на зрелые техпроцессы обеспечивается развитием Интернета вещей, компоненты для которого должны быть не только экономичными, но и недорогими. Количество проектов, использующих литографические нормы от 180 до 28 нм, по словам главы ASML, за последние два или три года существенно возросло. Сфера промышленной автоматизации и автомобильный сегмент тоже генерируют спрос на растущий ассортимент полупроводниковых компонентов, выпускаемых по зрелым технологиям. Отчасти специфику спроса в отрасли иллюстрируют комментарии представителей Tesla на вчерашнем квартальном мероприятии. Они пояснили, что компания не испытывает нехватки чипов, выпускаемых по передовым техпроцессам, но в сегменте зрелой литографии спрос далёк от удовлетворения.

ASML ускорила поставки литографического оборудования, но клиенты стали рассчитываться с ней позже

Холдинг ASML из Нидерландов является монополистом на рынке передового литографического оборудования, поэтому по динамике заказов и финансовых показателей этой компании можно судить о положении дел во всей отрасли. С прошлого года ASML взяла на вооружение практику ускоренной отгрузки оборудования, когда окончательные проверки и настройка делается уже на предприятии заказчика. Это вынуждает компанию откладывать получение выручки за уже поставленное оборудование на будущее.

 Источник изображения: ASML

Источник изображения: ASML

В 2022 году, как поясняет руководитель ASML Петер Веннинк (Peter Wennink), компания будет вынуждена задержать получение выручки за поставленное оборудование на сумму €2,8 млрд вместо ранее запланированного €1 млрд. По этой причине прогноз по росту выручки в 2022 году сокращён с прежних 20 % до значительно более уверенных 10 %. Высокий спрос на полупроводниковые компоненты и оборудование для его производства, по мнению руководства ASML, сохранится до конца текущего года, хотя на конечных рынках возможно некоторое ослабление — в сегменте чипов для ПК и смартфонов, например.

В прошедшем квартале ASML увеличила выручку на 35 % до €5,4 млрд по сравнению с аналогичным периодом прошлого года. В третьем квартале она ожидает получить либо столько же, либо рассчитывает сократить выручку до €5,1 млрд. Аналитики рассчитывали на сумму около €6,48 млрд, поэтому курс акций ASML упал на 4,8 % после публикации квартальной отчётности.

По итогам второго квартала ASML располагала портфелем заказов почти на €8,5 млрд. Спрос на оборудование для производства чипов, по словам Веннинка, по-прежнему превышает возможности компании по его выпуску. За второй квартал компании удалось реализовать 91 литографический сканер. По итогам текущего года компания рассчитывает отгрузить 55 сканеров для работы с передовой EUV-литографией, но только за 40 машин получит оплату от клиентов до конца года.

Власти Нидерландов подтвердили, что США убеждают их запретить ASML поставлять в Китай оборудование для выпуска чипов

С 2019 года нидерландский холдинг ASML лишился возможности поставлять в Китай передовое оборудование для литографии со сверхжёстким ультрафиолетовым излучением (EUV), пригодное для выпуска чипов по нормам ниже 10 нм. Теперь американские партнёры настаивают на том, чтобы власти Нидерландов перекрыли каналы поставок в КНР оборудования для иммерсионной DUV-литографии.

 Источник изображения: ASML

Источник изображения: ASML

Как поясняет Bloomberg, такое определение не охватывает весь спектр DUV-оборудования для работы с более зрелой литографией, но ограничивает возможность поставок в Китай наиболее продвинутых литографических сканеров из тех, что сейчас допускается отгружать в Поднебесную по действующим правилам экспортного контроля США.

Факт проведения консультаций на эту тему с США в интервью нидерландским СМИ подтвердил министр иностранных дел Вопке Хукстра (Wopke Hoekstra): «Всё, что я могу вам сказать — это то, что ты всегда поддерживаешь связь с друзьями, когда некоторые товары имеют более широкое стратегическое применение и вариативность в этом мире. Конечно, в этом случае ты ведёшь переговоры, и мы как раз этим занимаемся». Попутно министр выразил обеспокоенность фактами нарушений прав человека китайскими властями в Синьцзяне, которые регулярно обсуждаются прессой.

Формально власти Нидерландов пока не согласились ввести дополнительные ограничения на торговый оборот с Китаем, который в данной сфере является третьим по величине международным партнёром страны после Германии и Бельгии. Глава ASML, которую вводимые ограничения коснутся непосредственным образом, в прошлом году выступил против введения запрета на поставку в Китай DUV-сканеров, мотивируя это тем, что речь идёт о зрелой литографии. На долю китайских клиентов в 2021 году пришлось 14,7 % общей выручки ASML. Более того, запрет поставок со стороны одной только ASML проблемы не решит, поскольку японские Canon и Nikon подобное оборудование тоже поставляют.

Акции ASML упали из-за слухов о том, что США пытаются перекрыть ей поставки в Китай — акции китайских чипмейкеров наоборот подскочили

После недавней публикации Bloomberg о давлении на производителя литографического оборудования ASML со стороны американских властей с целью прекращения поставок Китаю, акции компании незамедлительно подешевели. Парадоксально, но акции китайских производителей полупроводников после этого наоборот начали подниматься в цене.

 Источник изображения: Laura Ockel/unsplash.com

Источник изображения: Laura Ockel/unsplash.com

Голландской ASML, являющейся крупнейшим поставщиком современного литографического оборудования для производства чипов, некоторое время назад уже запретили поставлять свои наиболее передовые инструменты в Китай. Тем не менее в Bloomberg сообщили о возможном полном запрете поставок, включая машины для зрелых техпроцессов. В качестве источника называются «люди, знакомые с вопросом». В самой ASML отказались комментировать слухи.

После публикации Bloomberg цена акций ASML обвалилась на 7,2 %. Подешевели и ценные бумаги других производителей оборудования для производителей чипов — компании Lam Research на 3,6 %, а Applied Materials на 2,4 %.

Для самой ASML отказ от продаж в Китай может быть крайне невыгодным — в 2021 году на Поднебесную приходилось порядка 16 % продаж её систем, на сумму 2,1 млрд евро. Каждая проданная машина стоит сотни миллионов долларов. При этом на поставки подобного инструментария требуется экспортная лицензия. С 2019 года голландское правительство по соглашению с США отказывает в выдаче ASML экспортных лицензий для продажи самых современных машин для EUV-литографии в Поднебесную. Туда продаются только менее современные DUV-варианты.

При этом большинство чипов до сих пор выпускается с помощью DUV-литографии и ограничение продаж соответствующих машин может оказать разрушительный эффект на китайскую индустрию полупроводников, причём это, вероятно, усугубит глобальный полупроводниковый дефицит.

В 2021 году Комиссия по национальной безопасности США по вопросам искусственного интеллекта, которую возглавлял бывший глава Google Эрик Шмидт (Eric Schmidt), рекомендовала Госдепартаменту США и Министерству торговли оказать давление на союзников с целью лишения Поднебесной доступа к DUV- и EUV-технологиям, а также сопутствующим инструментам.

 Источник изображения: Bloomberg

Источник изображения: Bloomberg

Парадоксально, но по данным той же Bloomberg на фоне новостей о возможном запрете экспорта выросли в цене акции китайских чипмейкеров — инвесторы посчитали, что возможные ограничения будут способствовать развитию аналогичной отрасли в Китае взамен закупки машин ASML. Рост ценных бумаг для разных китайских производителей чипов составил 10 и более процентов.

Китайская индустрия производства чипов развивается быстрее, чем где-либо в мире, а после введения США санкций в отношении гигантов вроде Huawei и Hikvision спрос на компоненты местного производства только вырос. По словам китайских представителей отрасли, цены растут на ожиданиях импортозамещения китайскими компаниями зарубежных решений.

Цену акций в китайском сегменте подогрели и предварительные, крайне оптимистичные данные о доходах местной Advanced Micro-Fabrication, выпускающей оборудование для производства полупроводников. Кроме того, опасения того, что выручка производителей чипов будет слишком низкой из-за пандемийных ограничений не оправдались в той мере, в какой это ожидалось. Наконец, достоверные данные о прекращении продаж ASML до сих пор отсутствуют.

США хотят запретить нидерландской ASML поставлять в Китай любое оборудование для выпуска чипов

США усиливают давление на правительство Нидерландов, чтобы то запретило местной компании ASML продавать любое оборудование для производства чипов в Китай. Если США преуспеют в этом вопросе, то это серьёзно подорвёт стремления Поднебесной стать мировым лидером в производстве полупроводников к 2025 году.

 Источник изображения: ASML

Источник изображения: ASML

Компания ASML является мировым лидером в производстве литографического оборудования для выпуска микросхем. Однако она не может продавать в Китай свои передовые установки для EUV-литографии, с помощью которых производятся полупроводники на самых современных технологических процессах. Как сообщает издание Bloomberg, на этих ограничениях правительство США не планирует останавливаться и хочет в конечном итоге добиться запрета поставок в Китай и оборудования для DUV-литографии, на базе которого выпускаются чипы на более зрелых техпроцессах. Это в том числе чипы для ПК, серверов, мобильной электроники, автомобилей и роботов.

США будет непросто добиться запрета на поставку всего литографического оборудования в Китай. На китайские фабрики, находящиеся под управлением местных компаний Hua Hong, Semiconductor Manufacturing International Co. (SMIC) или таких мировых производителей, как TSMC, Samsung и SK hynix в 2021 году пришлось около 16 % выручки ASML в прошлом году, которая достигла $18,6 млрд.

Сама ASML говорит, что является не единственным производителем DUV-сканеров (хотя и крупнейшим) и аналогичные установки выпускают компании Canon и Nikon. Однако если США добьются запрета их поставок в Китай, то Поднебесная не сможет быстро найти альтернативных поставщиков этого оборудования. Американское правительство считает, что современные технологии упаковки чипов позволяют китайским компаниям разрабатывать и производить собственные микросхемы, которые поспособствуют технологическому превосходству Китая в сфере суперкомпьютеров и, что более важно, в военных разработках.

США уже наложили на ряд китайских производителей чипов торговые санкции и лишили их доступа к оборудованию и технологиям с американскими корнями. Под удар попала, например, Huawei и её полупроводниковое подразделение HiSilicon, которое занималось разработкой чипов на базе Arm-архитектур. Запрет поставок любого литографического оборудования в Китай окажет серьёзный удар по местному производству.

На местное китайское производство чипов США могут оказать давление не только запретом на поставки оборудования ASML. Китайские заводы по производству полупроводников используют множество инструментов, оборудования и материалов американских компаний. К последним относятся, например, Applied Materials, KLA и Lam Research. Запрет на их работу с Китаем может оказать катастрофический эффект на Поднебесную.

Однако, санкции в отношении Китая также будут иметь серьёзные последствия для всего мира. Например, значительная часть чипов памяти DRAM и 3D NAND производится именно на китайских фабриках. Если те же компании Samsung и SK hynix останутся без китайского производства, то это создаст мировой дефицит чипов и электроники в целом. Компании TSMC, SMIC и Hua Hong работают с огромным количеством международных клиентов. Если они сократят своё производство, это также негативно скажется на американских разработчиках чипов.

TSMC в 2024 году получит новое оборудование ASML и через год выйдет на 2 нм

Руководство производственного подрядчика TSMC сообщило, что в 2024 году компания получит новую версию оборудования нидерландской ASML, предназначенного для производства передовых чипов. Речь идёт о сканерах с высокой числовой апертурой или high-NA EUV. Они позволят тайваньскому производителю выйти на массовое производство микросхем по технологии 2 нм к 2025 году.

 Источник изображения: tsmc.com

Источник изображения: tsmc.com

На технологическом симпозиуме в Кремниевой долине старший вице-президент тайваньского гиганта по исследованиям и разработке заявил: «В 2024 году TSMC получит сканеры high-NA EUV, разработает соответствующие инфраструктуру и шаблоны, необходимые клиентам для дальнейших инноваций».

Старший вице-президент TSMC по развитию бизнеса Кевин Чжан (Kevin Zhang) пояснил, что в том же 2024 году компания ещё не будет готова для запуска серийного производства на новом оборудовании — сначала потребуется провести консультации с партнёрами и проделать некоторую научную работу.

Сканеры high-NA EUV необходимы для производства нанолистовых транзисторов (GAAFET или nanosheet FET) — эта структура позволит TSMC выйти на технологию 2 нм. Массовое производство чипов нового образца запланировано на 2025 год. Агентство Reuters напомнило, что на тот же 2025 год серийное производство на новом оборудовании пообещала начать и компания Intel, которая также собирается всерьёз заняться контрактным производством и стать прямым конкурентом TSMC.

Несмотря на санкции, ASML расширит офис в Китае и продолжит поставлять оборудование для выпуска чипов

Жёсткий карантин в Китае после новой вспышки COVID-19 этой весной в стране на время затормозил производство полупроводников. Чтобы наверстать упущенное время компания ASML приняла решение на 14 % увеличить штат своих сотрудников в Китае. Специалисты нидерландского производителя литографических сканеров смогут выезжать на местные заводы для полноценных консультаций, что ускорит запуск промышленного оборудования и увеличит мировой объём выпуска чипов.

 Источник изображения: ASML

Источник изображения: ASML

В китайском филиале ASML работает около 1400 сотрудников. В ближайшее время компания наймёт на работу ещё 200 человек. Кроме инженеров также будут набраны специалисты по правовому сопровождению работы ASML в Китае в условиях санкций и на случай утечек технологий. В частности, среди размещенных компанией вакансий есть должность руководителя отдела экспортного контроля и соблюдения санкций в Китае, в обязанности которого будет входить определение и юридическое оформление политики ASML в отношении международных органов и местных властей.

Крупнейшим клиентом ASML в Китае остаётся местный контрактный производитель полупроводников — компания SMIC, хотя кроме неё в Китае у ASML есть множество других клиентов. Компания SMIC и другие китайские компании продолжают строить новые заводы и нуждаются в новом оборудовании для выпуска чипов, хотя поставки в Китай самого передового оборудования — сканеров диапазона EUV — находятся под запретом. Но кроме сканеров EUV есть множество других инструментов, которые в Китай ввозить разрешено, и этим занимается местный штат ASML.

В компании считают, что они своевременно включились в процесс возобновления активного производства чипов в Китае и смогут помочь в ускорении ликвидации дефицита полупроводников во всём мире.


window-new
Soft
Hard
Тренды 🔥
Nvidia представила первые игровые ИИ-ноутбуки Copilot Plus PC — на чипах AMD 2 ч.
NVIDIA представила ускорители GB200 NVL2, платформы HGX B100/B200 и анонсировала экосистему следуюшего поколения Vera Rubin 4 ч.
ASRock Rack анонсировала ИИ-системы с ускорителями NVIDIA Blackwell GB200, B200 и B100 5 ч.
Asus представила ROG Ally X — портативную консоль с мощной батареей и улучшенной памятью 5 ч.
Проект STMicroelectronics по строительству предприятия в Италии получит 2 млрд евро субсидий 14 ч.
Boeing отменила пилотируемый полёт космического корабля Starliner к МКС за несколько минут до старта 22 ч.
Привет из 2014-го: Asus выпустила обновлённую GeForce GT 710 EVO с 2 Гбайт GDDR5 23 ч.
Apple выбрала процессоры М2 Ultra и М4 для серверов, на которых будут работать ИИ-функции iPhone 01-06 18:28
Выставка Computex 2024 откроется 4 июня, но презентации AMD, Intel и Nvidia пройдут раньше 01-06 17:21
iPhone 5s официально устарел, а iPod touch 6 стал винтажным 01-06 17:02