Сегодня 25 апреля 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Новости Hardware

Intel превзойдёт техпроцессы TSMC по плотности размещения транзисторов уже в следующем году

Довольно долго определяемая так называемым законом Мура тенденция к удвоению плотности размещения транзисторов на полупроводниковых чипах каждые полтора-два года определяла темпы развития вычислительной техники в целом. Компания Intel утратила лидерство в этой сфере, но обещала вернуть его к середине десятилетия. Независимые эксперты предрекают, что по некоторым критериям Intel сможет сделать это уже в 2024 году.

 Источник изображения: TSMC

Источник изображения: TSMC

По крайней мере, на этом настаивает ресурс WikiChip Fuse по итогам докладов представителей TSMC, касающихся прогресса по освоению литографических норм 3-нм семейства. Известно, что TSMC в рамках этого семейства предложит не менее пяти разновидностей техпроцесса, но о свойствах первых двух — N3B и N3E — уже можно говорить с некоторой степенью уверенности.

Напомним, по техпроцессу N3B компания TSMC, как ожидается, уже осваивает выпуск 3-нм процессоров Apple, которые появятся в новом поколении iPhone этой осенью и компьютерах Mac в форме чипов серии M3. Широкого применения техпроцесс N3B не получит, и основная часть клиентов TSMC будет дожидаться запуска в массовое производство техпроцесса N3E, который намечен на следующее полугодие. Не исключено, что клиентом TSMC в рамках технологии N3E станет та же NVIDIA, основатель которой на днях сделал соответствующие заявления.

Компания Intel давно считала несправедливой собственную систему обозначения техпроцессов, а потому некоторое время назад перешла на более абстрактную шкалу, которая позволяет проще сопоставлять её техпроцессы с предложениями конкурентов. Intel 4, например, может сравниваться с техпроцессами TSMC серии N3. Сугубо по плотности размещения транзисторов в логических блоках чипов обе компании могут достичь паритета уже в этом году, поскольку она в обоих случаях приблизится к 125 млн транзисторов на квадратный миллиметр площади.

Само собой, в рамках техпроцессов серии N3 тайваньская TSMC может постепенно поднять показатель плотности до 215 млн транзисторов на квадратный миллиметр, но и Intel не будет стоять на месте. По оценкам экспертов, уже в рамках техпроцесса Intel 20A она сможет обойти TSMC по плотности размещения транзисторов на чипе. Фактически, если это случится даже к концу 2024 года, то компании удастся подкрепить на практике обещания генерального директора Патрика Гелсингера (Patrick Gelsinger) вернуть технологический паритет к 2024 году и обеспечить превосходство над конкурентами в 2025 году, причём с небольшим опережением графика.

Как показывает проведённый WikiChip Fuse анализ, у компании TSMC не всё благополучно в сфере литографии, поскольку каждая новая ступень технологии порождает свои вызовы и трудности. Плотность размещения транзисторов в ячейках памяти типа SRAM, которая формирует кеш в современных процессорах, у этого контрактного производителя в рамках семейства технологий N3 относительно N5 вообще не выросла. Стало быть, разработчикам процессоров будет не так просто увеличивать объём кеш-памяти в своих решениях, если это потребуется сделать в рамках 3-нм технологии. Выпускать продукцию по техпроцессам семейства N2 тайваньская компания начнёт не ранее 2025 года, поэтому у Intel в следующем году при сохранении существующих темпов прогресса в сфере литографии появится повод для заявлений о достижении технологического превосходства над основным конкурентом. До конца десятилетия, напомним, Intel также рассчитывает превратиться во второго по величине контрактного производителя чипов в мире.

Источники:

Если вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.
Вечерний 3DNews
Каждый будний вечер мы рассылаем сводку новостей без белиберды и рекламы. Две минуты на чтение — и вы в курсе главных событий.
Материалы по теме

window-new
Soft
Hard
Тренды 🔥
Intel выпустила драйвер с поддержкой Manor Lords 11 мин.
Один из лучших модов для Doom II скоро получит ремейк на Unreal Engine 5 — страница Total Chaos появилась в Steam 25 мин.
Wizardry: Proving Grounds of the Mad Overlord скоро вырвется из раннего доступа и появится на консолях — дата выхода ремейка одной из первых компьютерных RPG 51 мин.
Более половины россиян пользуются подписками на онлайн-кинотеатры 3 ч.
Из Git в RuStore: «РеСолют» интегрировала платформу GitFlic с российским магазином приложений 3 ч.
Ожившая настольная игра Baladins с кооперативом на четверых предложит спасать мир от пожирающего время дракона — дата выхода и новый трейлер 3 ч.
Минцифры: доля Telegram в российском мобильном трафике составляет 10 % 4 ч.
Росфинмониторинг и банки научились отслеживать связи между банковскими операциями и криптовалютой 4 ч.
VK Play исполнилось два года: 16,4 млн активных пользователей, программы поддержки разработчиков и кое-что ещё 5 ч.
8К-гейминг: в турецких PS Store и Microsoft резко подорожали игры Electronic Arts 5 ч.
Потребление воды китайскими ЦОД удвоится к 2030 году, дойдя до более чем 3 млрд кубометров 2 ч.
Выяснились подробности о мобильных процессорах AMD Strix Point и Strix Halo на архитектуре Zen 5 2 ч.
Новая статья: Обзор IPPON Game Power Pro 1000: ИБП с чистой синусоидой для игровых ПК 2 ч.
«Почта России» начала тестирование автономного грузовика Evocargo N1 — он ездит со скоростью 20 км/ч 2 ч.
Nvidia анонсировала выступление Дженсена Хуанга за день до начала Computex 2024 2 ч.
Lenovo и Micron представили первый в мире ноутбук с модулями памяти LPCAMM2 3 ч.
Китайская SDC выпустила 4K Nano-IPS-монитор с частотой 165 Гц и портом DisplayPort 2.0 за $415 3 ч.
Вычислительный модуль Raspberry Pi Compute Module 4S получил до 8 Гбайт ОЗУ 3 ч.
ЦОД на самообеспечении: Vantage намерена построить в Ирландии за $1 млрд кампус с собственной электростанцией 3 ч.
Корейские учёные научились быстро и просто выращивать искусственные алмазы — алмазные чипы уже рядом 4 ч.