|
Опрос
|
реклама
Быстрый переход
TSMC заявила, что её китайские клиенты сохранят доступ к передовым техпроцессам
26.12.2025 [08:22],
Алексей Разин
Тайваньская компания TSMC использует оборудование и технологии американского происхождения, а потому вынуждена следовать экспортным ограничениям США по всему миру. В отношении её китайских клиентов это означает, что только проверенные и одобренные властями США компании могут заказывать свои чипы TSMC. Руководство производителя подчеркнуло, что китайские клиенты сохраняют доступ к передовым технологиям, если на них не наложены санкции.
Источник изображения: TSMC Напомним, самым ярким примером воздействия американских санкций на клиентов TSMC является запрет на доступ к конвейеру этой компании для китайского гиганта Huawei Technologies, который с 2019 года вынужден полагаться на технологические возможности китайской SMIC. Последняя пока балансирует где-то на грани между 7-нм и 5-нм технологией, но западные эксперты продолжают утверждать, что выпускать соответствующие чипы в больших количествах и с приемлемыми затратами она не может. Ресурс TrendForce обобщил информацию о ситуации с доступом китайских клиентов TSMC к её услугам. В китайском Нанкине у компании продолжает работать предприятие, которое выпускает чипы по спектру зрелых технологий от 28 до 16 нм. Действующее разрешение на выпуск соответствующих чипов в Китае истекает 31 декабря этого года. Представители местного подразделения TSMC, как отмечают китайские источники, призвали клиентов компании не очень переживать по этому поводу, поскольку она может гибко перенаправлять заказы в регионах присутствия своих предприятий. Даже если выпускать зрелые чипы в Нанкине будет запрещено, TSMC сможет обслуживать своих китайских клиентов силами зарубежных фабрик. Главное, чтобы при этом конечные получатели чипов не находились под американскими санкциями. Более того, китайские разработчики формально имеют право использовать и передовые техпроцессы TSMC. Например, Xiaomi получает от компании выпускаемые по 3-нм технологии процессоры Xring O1 собственной разработки. В целом, китайская площадка TSMC обеспечивает не более 3 % глобальных объёмов производства чипов компании, поэтому заместить её сторонними мощностями она в случае необходимости сумеет. В основном продукция предприятия в Нанкине используется в секторе автомобилестроения. Кроме того, китайские предприятия Samsung Electronics и SK hynix, которые обеспечивают их существенной частью выпускаемых микросхем памяти, в конце этого месяца столкнутся с истечением срока действующего разрешения на импорт в Китай оборудования для своих предприятий. С первого января процедура согласования поставок станет более сложной, поэтому производители памяти сосредоточатся на более эффективном использовании имеющихся в Китае мощностей. О расширении этих мощностей уже говорить не придётся. Intel построила в Аризоне более крупную и лучше оснащённую фабрику, чем TSMC — но есть нюансы
24.12.2025 [11:34],
Алексей Разин
В уходящем году предметом особой гордости Intel стало введение в строй нового предприятия Fab 52 в штате Аризона, на котором сейчас осваивается массовое производство чипов по передовой технологии Intel 18A так называемого «ангстремного» класса. Эта производственная площадка крупнее и оснащена лучше, чем расположенные неподалёку предприятия конкурирующей TSMC.
Источник изображения: Intel Сравнивать эти две площадки напрямую не совсем корректно, но представители Tom’s Hardware решили сделать это, опираясь на недавний отчёт CNBC о посещении предприятия Fab 52 корпорации Intel. По крайней мере, производительность этого предприятия превышает совокупные возможности обеих фаз Fab 21 — аризонского комплекса TSMC, который уже выпускает 4-нм чипы с таким же уровнем качества, как на Тайване. Технология Intel 18A сочетается со структурой транзисторов RibbonFET (GAA) и подводом питания с оборотной стороны печатной платы PowerVia, что позволяет говорить о дополнительном преимуществе применяемых в Аризоне технологий Intel по сравнению с решениями TSMC. Законодательство Тайваня не позволяет компании экспортировать самые передовые технологии за пределы острова, поэтому американские предприятия этого контрактного производителя пока на пару поколений отстают от тайваньских аналогов. Fab 52 компании Intel способна обрабатывать по 40 000 кремниевых пластин в месяц, но пока она не вышла на этот уровень. Fab 52 также может похвастать наличием передового литографического оборудования ASML. Сканеры с низкой числовой апертурой, ориентированные на работу со сверхжёстким ультрафиолетовым излучением (Low-NA EUV), имеются на предприятии в количестве четырёх штук. Как минимум один из них относится к серии Twinscan NXE:3800E, который позаимствовал у более совершенного семейства сканеров держатель пластин, источник света и более быструю обработку пластин. Это позволяет ему обрабатывать по 220 кремниевых пластин в час при плотности энергии 30 мДж/см2. Сканеры семейства Twinscan NXE:3600D при тех же энергозатратах позволяют обрабатывать каждый час до 160 кремниевых пластин. В общей сложности, Fab 52 должна разместить не менее 15 литографических сканеров для работы с EUV. Предприятие обладает достаточной площадью и для размещения более крупных и совершенных сканеров класса High-NA EUV, но пока сложно предугадать, будут ли они здесь расположены, либо достанутся строящейся Fab 62. Существующая Fab 52 может выпускать вдвое больше чипов, чем Fab 21 компании TSMC, используя более совершенные литографические технологии. Вторая фаза Fab 21 будет рассчитана на выпуск чипов по 3-нм техпроцессу, но она в совокупности с первой всё равно будет обрабатывать не более 40 000 кремниевых пластин в месяц. Это позволит Fab 52 компании Intel сохранить паритет или даже остаться в лидерах по сравнению с американскими предприятиями TSMC. Пожалуй, главной проблемой для Intel будет оставаться только низкая степень загрузки Fab 52, поскольку выпуск продукции по технологии 18A будет наращиваться очень медленно, с учётом необходимости привлечения сторонних заказов и завоевания доверия будущих клиентов. TSMC использует в США уже отлаженные техпроцессы, поэтому значительно быстрее масштабирует производство чипов. ИИ разогнал рынок чипов: TSMC и прочие контрактные фабрики нарастили выручку двузначными темпами
24.12.2025 [10:17],
Алексей Разин
По версии TrendForce, десятка крупнейших контрактных производителей чипов по итогам третьего квартала этого года увеличила совокупную выручку последовательно на 8,1 % до $45 млрд, но представители Counterpoint Research располагают альтернативной статистикой, указывая на рост всего рынка контрактного производства на 17 % год к году до $84,8 млрд.
Источник изображения: GlobalFoundries Авторы исследования отмечают, что главными драйверами роста выручки контрактных производителей оставался бум искусственного интеллекта, а также бурное развитие китайских производителей, которые нацелены на достижение импортозамещения. Лидирующая на мировом рынке TSMC, по данным источника, свою долю рынка подобных услуг в третьем квартале увеличила с 38 до 39 %. Более того, выручку TSMC удалось в годовом сравнении увеличить на 41 %, во многом благодаря концентрации на передовых и дорогих для своих клиентов техпроцессах. За исключением TSMC, прочий рынок контрактного производства продемонстрировал умеренный рост выручки на 6 % по итогам третьего квартала. На динамику этого сегмента в разной степени влияли таможенные тарифы, которые то вводились США, то переносились, а также стремление китайских властей добиться национального суверенитета в области производства чипов. По словам аналитиков Counterpoint Research, в четвёртом квартале TSMC не сможет продемонстрировать заметный последовательный рост выручки, поскольку её производственные линии уже загружены полностью, особенно в сегменте 5-нм и 4-нм техпроцессов и на направлении упаковки чипов. Из-за этого мировая выручка контрактного рынка по итогам всего года вырастет только на 15 %. В отличие от TrendForce, эксперты Counterpoint Research учитывают выручку и тех вертикально интегрированных компаний, которые сочетают предоставление контрактных услуг с выпуском собственной продукции (как Samsung и в меньшей степени Intel). Если же выделить исключительно «чистокровных» контрактных производителей, то их совокупная выручка по итогам текущего года вырастет на 26 %, внеся основной вклад в общее расширение рынка полупроводниковых компонентов. Из-за роста цен на память выпускать её уже стало выгоднее, чем чипы на заказ
23.12.2025 [09:40],
Алексей Разин
Масштабы бизнеса тайваньской TSMC подразумевают не только колоссальные капитальные затраты, но и хорошую прибыльность деятельности по контрактному производству чипов. Рынок памяти традиционно характеризовался цикличностью с затяжными периодами убыточности, но в четвёртом квартале рост цен сделал этот бизнес более доходным по сравнению с TSMC.
Источник изображения: Samsung Electronics По крайней мере, на этом настаивает Hankyung, подводя предварительные итоги деятельности Samsung Electronics и SK hynix в четвёртом квартале текущего года. Впервые за последние семь лет выпуск памяти станет более доходным бизнесом по сравнению с контрактным производством чипов. Если TSMC по итогам этого квартала может ограничиться нормой прибыли на уровне 60 %, то у Samsung Electronics и SK hynix этот показатель может достичь 63 и 67 % соответственно. В предыдущем фискальном квартале норма прибыли американской Micron Technology уже достигла 56 %, а по итогам текущего должна вырасти до 67 %. Таким образом, к февралю следующего года Micron также может обойти TSMC по прибыльности. Все три основных игрока рынка памяти сосредоточили усилия на увеличении объёмов выпуска HBM для сегмента инфраструктуры ИИ. Для нужд выпуска HBM ими было выделено от 18 до 28 % мощностей, задействованных при производстве DRAM. Цены на память такого типа в результате выросли за квартал на 30 %. Эволюция сегмента ИИ также диктует свои условия рынку памяти. На этапе перехода от обучения больших языковых моделей к инференсу вырастет потребность в более энергоэффективной памяти большого объёма. HBM отойдёт на второй план, больше будут востребованы микросхемы типов GDDR7 и LPDDR5X. Будут появляться и новые виды памяти, включая варианты с поддержкой вычислений непосредственно на стороне памяти. Особое внимание будет уделяться прогрессивным компоновочным решениям, позволяющим увеличить плотность хранения данных. Ставки растут: TSMC задумалась о выпуске 2-нм чипов в Японии — ещё недавно речь шла только о 6 нм
22.12.2025 [09:51],
Алексей Разин
Не так давно одно авторитетное японское издание заявило, что второе по счёту предприятие TSMC в Японии будет построено с расчётом на выпуск не 6-нм продукции, как планировалось изначально, а более передовой 4-нм. Теперь новые источники сообщают, что и этот план не является окончательным, и TSMC готова наладить здесь выпуск 2-нм изделий.
Источник изображения: TSMC По данным Mirror Media, соответствующий план уже передан на согласование генеральному директору и председателю совета директоров TSMC Си-Си Вэю (C.C. Wei). Напомним, деятельность в Японии тайваньский контрактный производитель чипов осуществляет через совместное предприятие с Sony и Denso, именуемое JASM. В случае такой переориентации второго предприятия JASM в регионе оно будет главным образом снабжать не производителей автокомпонентов типа той же Denso, а разработчиков чипов для ускорителей ИИ, типа Nvidia и AMD. В первом полугодии JASM получила $197 млн убытков, тогда как американское предприятие TSMC принесло $149 млн прибыли, наладив выпуск 4-нм чипов для местных клиентов. Такая диспропорция заставила руководство TSMC задуматься о необходимости организации в Японии производства более продвинутых компонентов. Сейчас спрос на 28-нм чипы, выпускаемые на первом японском предприятии JASM, довольно низок, и даже на Тайване линии по выпуску 6-нм чипов в октябре этого года были загружены только на 70 % от силы. Ещё одна площадка по производству 6-нм чипов только усугубит убытки японского СП. В конце ноября, по данным Mirror Media, компания TSMC приняла решение развивать в Японии производство передовых чипов. Церемония закладки фундамента второго предприятия в префектуре Кумамото состоялась в конце октября этого года. Если бы оно к 2027 году наладило выпуск 4-нм продукции, то всё равно бы отстало от конъюнктуры рынка, поэтому теперь руководство считает разумным изначально нацеливаться на выпуск 2-нм изделий. Проблема заключается в том, что переход на подготовку к выпуску 2-нм чипов в Японии заметно увеличит бюджет проекта. Капитальные затраты увеличатся с $10 до $25 млрд, но не это может стать главным препятствием. Тайваньские власти хотят ограничить экспорт передовых технологий за пределы острова. Сейчас они допускают организацию выпуска за пределами Тайваня чипов, использующих технологии, отстающие от передовых на два поколения. Обсуждаемый выше 2-нм техпроцесс является самым прогрессивным по меркам Тайваня. Кроме того, увеличение капитальных затрат потребует более щедрых субсидий со стороны японских властей, а они сейчас сосредоточены на поддержке конкурирующей компании Rapidus. Представители TSMC отказались комментировать публикацию Mirror Media. Тайвань намерен притормозить зарубежные фабрики TSMC ради контроля над техпроцессами
20.12.2025 [15:26],
Павел Котов
Тайваньские власти рассматривают возможность ввести новые правила экспорта, согласно которым TSMC, как крупнейший и наиболее прогрессивный в мире полупроводниковый подрядчик, сможет экспортировать только те технологии, которые отстают от передовых на два поколения, сообщает тайваньское национальное новостное агентство CNA.
Источник изображений: tsmc.com С принятием новых норм расширение TSMC в США может замедлиться — сейчас компания активно отстраивает в стране передовые предприятия. В основе новой экспортной политики лежит правило «N-2», которое разрешит развёртывать за рубежом только технологические процессы, отстающие от тайваньских на два поколения. Ранее тайваньские власти придерживались правила «N-1», то есть TSMC могла экспортировать все технологии, если они отставали от передовых технологических процессов хотя бы на одно поколение. Новая система значительно строже: в зависимости от того, как считать поколения, TSMC могут разрешить экспортировать только решения, которые отстают от её лучших технологий на два, а то и на четыре года. Если TSMC разработает на «домашних» предприятиях техпроцесс класса 1,2 или 1,4 нм, то за рубежом будет доступна только продукция класса 1,6 нм, пояснил зампред Совета по науке и технологиям (NSTC) Тайваня Линь Фа Чэн (Lin Fa-cheng). Сейчас расположенный в Аризоне завод Fab 21 Phase 1 способен выпускать чипы по технологиям N4/N5, которые относятся к одному поколению. На Тайване у TSMC есть несколько заводов, способных осваивать технологические процессы 3 нм, такие как N3B, N3E и N3P; идёт подготовка к запуску крупномасштабного производства чипов с использованием технологий N2, то есть класса 2 нм. Формально на первом этапе Fab 21 сейчас соответствует правилу «N-2». Но как только в 2027 году на втором этапе данное предприятие начнёт производство чипов по технологиям 3 нм, оно перестанет отвечать этому правилу, поскольку N3 формально отстаёт от N2/N2P/A16 лишь на одно поколение. С другой стороны, A16 — это N2P с подачей питания на задней стороне, и если рассматривать A16 как технологию нового поколения, новые требования к экспорту высокотехнологичной продукции для Fab 21 будут соблюдаться. ![]() На Тайване также останется бо́льшая часть научно-исследовательских и опытно-конструкторских работ TSMC — научно-исследовательская база компании соответствует требованиям правительства, отметил господин Линь. На практике такая концентрация инженеров и учёных гарантирует, что перспективные разработки техпроцессов останутся в стране, несмотря на то, что за рубежом компания наращивает не только производственные мощности, но и научно-исследовательские центры. Весь квалифицированный персонал, работающий в полупроводниковой отрасли, подлежит регулирующему надзору — защита интеллектуальной собственности и оборудования распространяется и на человеческий капитал, подчеркнул чиновник. Все дальнейшие инвестиции TSMC в США будут рассматриваться в соответствии с действующим законодательством; проекты, превышающие определённые пороговые значения, должны будут рассматриваться Инвестиционной комиссией при Министерстве экономики, добавил заместитель гендиректора Управления промышленного развития в ведомстве Чоу Ю Синь (Chou Yu-hsin). TSMC готова наладить выпуск 3-нм чипов в Аризоне уже в 2027 году
18.12.2025 [07:43],
Алексей Разин
Тенденция к локализации производства передовых чипов в различных регионах за пределами Тайваня пришла надолго, а потому TSMC в качестве демонстрации лояльности своим зарубежным партнёрам старается внедрить передовые технологии на своих предприятиях за границей с опережением графика. Например, выпуск 3-нм чипов в США она планирует освоить в 2027 году, для чего уже следующим летом начнёт монтаж оборудования в Аризоне.
Источник изображения: TSMC Как поясняет Nikkei Asian Review, компания собирается приступить к монтажу оборудования для производства 3-нм чипов на втором из запланированных к строительству предприятий в Аризоне летом 2026 года. Источники указывают на готовность TSMC приступить к установке необходимого для производства 3-нм чипов оборудования в период с июля по сентябрь следующего года. Первоначально считалось, что второе предприятие TSMC в Аризоне будет введено в строй лишь в 2028 году, но при указанном графике монтажа оборудования оно сможет выдавать продукцию уже с 2027 года. Глава компании Си-Си Вэй (C.C. Wei) признался недавно, что сроки реализации этого проекта смещены в сторону ускорения сразу на несколько кварталов. По словам представителей полупроводниковой отрасли, после установки оборудования обычно проходит около года, в течение которого оно настраивается, и затем предприятие получает возможность начать серийный выпуск продукции. В случае с передовыми техпроцессами этот этап может затянуться сильнее, поскольку они подразумевают более 1000 различных операций. Напомним, первый завод TSMC в Аризоне уже выдаёт 4-нм продукцию для американских заказчиков, коими являются Apple и Nvidia. Всего в этом штате компания намеревается построить ещё четыре предприятия по выпуску чипов, два предприятия по их тестированию и упаковке, а также возвести исследовательский центр. Когда этот проект стоимостью $165 млрд будет реализован, TSMC сможет выпускать на территории США до 30 % всех своих передовых чипов. Компания уже получает от американских заказчиков 76 % всей выручки, и локализация производства в большей мере продиктована политической конъюнктурой, а не экономическими факторами. Японские проекты TSMC реализуются неоднозначно. С одной стороны, компания задерживает строительство второго японского предприятия JASM, мотивируя это снижением спроса на зрелые техпроцессы. С другой стороны, она готовится наладить на территории Японии выпуск 4-нм чипов вместо прежних 6-нм. Правда, это изменение в планах тоже приведёт к замедлению строительства второго японского завода. 2 нм нарасхват: самые передовые линии TSMC забиты заказами до конца 2026 года
17.12.2025 [12:53],
Алексей Разин
Уходящий год для тайваньской компании TSMC характеризовался переходом к массовому производству чипов по технологическим нормам 2 нм, которые считаются передовыми по меркам мировой отрасли. Тайваньские источники утверждает, что заказами на выпуск 2-нм продукции эта компания загружена до самого конца 2026 года.
Источник изображения: TSMC Впервые в своей истории TSMC должна внедрить структуру транзисторов с окружающим затвором (GAA) именно в рамках 2-нм технологии. Именно прогресс в материаловедении, по словам тайваньских СМИ, во многом определяет сроки и масштабы внедрения новых литографических норм в масштабах полупроводниковой отрасли. TSMC в этом квартале начинает массовые поставки 2-нм чипов своим клиентам, и от перехода на новый техпроцесс должны выиграть не только разработчики компонентов для инфраструктуры ИИ, но и проектировщики мобильных чипов. Новый техпроцесс позволяет повысить энергетическую эффективность чипов и их быстродействие. По сравнению с 3-нм техпроцессом и структурой транзисторов FinFET, новый 2-нм техпроцесс в сочетании с GAA позволяет при прежнем уровне энергопотребления поднять быстродействие на величину от 10 до 15 %, либо снизить энергопотребление на 25–30 % при неизменном быстродействии. Подобные качества будут востребованы в сегменте ИИ, поскольку огромное энергопотребление профильных ЦОД уже стало серьёзной проблемой, вынуждающей задуматься о перезапуске замороженных АЭС в отдельных регионах планеты, либо о строительстве новых. TSMC выйдет на ежемесячную обработку 100 000 кремниевых пластин с 2-нм чипами лишь к концу следующего года, поэтому соответствующие услуги будут оставаться в дефиците. Сейчас в получении от TSMC своих 2-нм чипов заинтересованы Apple, Nvidia, AMD и Qualcomm. Спрос на более зрелый 3-нм техпроцесс TSMC поддерживают Apple, Nvidia, AMD, Amazon и Intel. Бум ИИ также повлиял на рост популярности 7-нм техпроцесса в исполнении TSMC. Этот контрактный производитель намерен выпускать 2-нм чипы на семи действующих и строящихся предприятиях на Тайване. Предполагается, что их возможностей будет недостаточно для удовлетворения спроса на соответствующую продукцию. Это подтолкнуло компанию начать подготовку к строительству ещё трёх профильных фабрик на юге острова. При сохранении таких темпов экспансии капитальные затраты TSMC по итогам следующего года могут вырасти до рекордных $48–50 млрд. Новые техпроцессы обходятся в освоении и производстве гораздо дороже предыдущих, хотя бы в силу необходимости использования более сложного оборудования и оснастки. TSMC передумала выпускать 6-нм чипы в Японии: теперь ставка на 4 нм, но сроки пострадали
11.12.2025 [14:40],
Алексей Разин
Первая фабрика TSMC в Японии уже давно серийно выпускает чипы по диапазону технологий от 40 до 12 нм, которые востребованы главным образом акционерами в лице Sony и поставщика автокомпонентов Denso. Как уточняют японские СМИ, строящееся второе предприятие JASM может отказаться от планов по выпуску 6-нм чипов в пользу более современных 4-нм, но для этого ему придётся несколько задержаться со сроками ввода в эксплуатацию.
Источник изображения: TSMC По крайней мере, издание Nikkei Asian Review сообщает, что начатое в конце октября строительство второго предприятия TSMC в Кумамото сейчас фактически заморожено. К декабрю со строительной площадки исчезла вся тяжёлая техника, а некоторым поставщикам было сообщено, что строительство поставлено на паузу без дальнейшего разъяснения причин. Ранее считалось, что второй завод по выпуску чипов JASM в Кумамото будет введён в эксплуатацию в 2027 году. Более того, TSMC отказалась от закупки дополнительного оборудования для своего первого предприятия в Кумамото. Первоначально компания намеревалась повременить с закупкой нового оборудования для первого предприятия до начала 2026 года, но теперь сообщила некоторым поставщикам, что не нуждается в дополнительных поставках до самого конца 2026 года. Соответственно, расширение объёмов производства зрелых чипов на первом предприятии JASM было как минимум заморожено. В случае с 6-нм и 7-нм чипами, которые изначально должны были выпускаться на втором предприятии JASM, ситуация со спросом тоже не так предсказуема и оптимистична, поэтому компания решила отдать предпочтение более перспективным 4-нм чипам, хотя это наверняка потребует увеличения сроков введения в эксплуатацию второго предприятия в Японии. По технологиям от 7-нм до 6-нм можно изготавливать ограниченный ассортимент чипов для ускорителей ИИ, а также компоненты для телевизионной техники, оборудования беспроводной связи и адаптеров Bluetooth, рынок сбыта не так велик, чтобы заниматься локализацией этой продукции в Японии. Не менее важно и то, что TSMC рассматривает возможность организации на территории Японии упаковки чипов с использованием передовых технологий, востребованных в том же сегменте ИИ, например. Чисто теоретически, это могло бы позволить организовать в Японии производство чипов Nvidia для ускорителей Blackwell, с учётом поставок памяти для них из соседней Южной Кореи. TSMC застопорилась при масштабировании памяти SRAM — переход на 2-нм техпроцесс не даст улучшений
08.12.2025 [10:13],
Алексей Разин
Так называемый «закон Мура», который предписывает удвоение плотности размещения транзисторов на полупроводниковых кристаллах каждые полтора или два года, обеспечивает прогресс далеко не во всех сферах. В частности, улучшить масштабирование при производстве ячеек памяти типа SRAM новый 2-нм техпроцесс не поможет.
Источник изображения: TSMC Об этом со ссылкой на полученные от TSMC данные сообщил ресурс ComputerBase.de. Проблема замедления масштабирования геометрии полупроводниковых элементов давно известна в отрасли, и на передовой 2-нм техпроцесс возлагались определённые надежды, но TSMC дала понять, что в случае с SRAM на улучшение рассчитывать не придётся. По крайней мере, здесь всё осталось на одном уровне с техпроцессами N3 и N5. В рамках 3-нм и 5-нм техпроцессов площадь одной ячейки памяти SRAM составляла идентичные 0,021 квадратных микрометра. Для сравнения, более зрелый техпроцесс N7 обеспечивал площадь одной ячейки на уровне 0,026 квадратных микрометра. Ячейки SRAM остаются важным строительным элементом современных чипов. Они используются для формирования кеш-памяти различных уровней, и порой занимают существенную часть площади кристалла. Чем плотнее их можно размещать, тем лучше для производительности чипа. С учётом слабого прогресса в масштабировании SRAM, в также появлением новых крупных функциональных блоков, нередко связанных с ИИ, тенденция к увеличению площади современных процессоров никуда не денется, как резюмируют источники. Если говорить о техпроцессе N3P в исполнении TSMC, который будет использоваться и для производства ускорителей Nvidia Vera Rubin, то его освоение идёт не так гладко, как рассчитывала компания. Имеются проблемы с уровнем брака, поэтому N3P наверняка перейдёт на новую ревизию, прежде чем с его использованием можно будет массово выпускать чипы. Впрочем, и при освоении N3 первого поколения TSMC потратила почти год на устранение всех дефектов, и это не особо ей навредило в условиях почти полного отсутствия конкурентов в сегменте. Крупные чипы со сложной структурой обычно мигрируют на передовые техпроцессы с некоторой задержкой относительно более простой продукции, поэтому некоторые заказчики в таких условиях предпочтут подождать. Apple планирует доверить Intel выпуск процессоров для недорогих версий iPhone
06.12.2025 [06:20],
Анжелла Марина
Компания Apple рассматривает возможность привлечения Intel в качестве нового производственного партнёра для выпуска собственных чипов, что, как ожидается, затронет не только компьютеры и планшеты, но в перспективе и смартфоны, сообщает издание 9to5Mac со ссылкой на отчёт известного аналитика Мин-Чи Куо (Ming-Chi Kuo).
Источник изображений: Apple Согласно отчёту, Intel, вероятно, начнёт производить базовые чипы серии M для Mac и iPad в 2027 году. Эту информацию подтвердил и другой аналитик — Джефф Пу (Jeff Pu), который также сообщил, что сотрудничество Apple и Intel может расшириться. В частности, начиная с 2028 года Intel, предположительно, будет выпускать так называемый «не pro чип» (non-pro smartphone SoC) для смартфонов iPhone. В настоящее время Apple почти полностью полагается на тайваньскую компанию TSMC для массового производства чипов, используемых в iPhone, iPad и Mac. Если Apple сохранит привычный график обновлений, первым чипом серии M, произведённым Intel, может стать M7. Что касается мобильных устройств, то ожидается, что базовый чип A19 будет использоваться исключительно в iPhone 17, а также, предположительно, в грядущей модели iPhone 17e. Все остальные флагманские модели линейки iPhone получат усовершенствованную версию чипа A19 Pro, производство которого, по имеющимся данным, останется за TSMC. ![]() Подчёркивается, что Apple продолжит самостоятельно разрабатывать и проектировать все свои чипы, включая те, которые в будущем будут выпускаться на мощностях Intel. Таким образом, речь идёт исключительно о переносе операций по производству, а не разработки, отмечает 9to5Mac. Хотя до появления первых iPhone с чипами Intel пройдёт ещё несколько лет, аналитики предполагают, что в 2028 году именно базовые и удешевлённые модели, такие как iPhone 17e, могут стать первыми устройствами Apple с процессорами, изготовленными американским производителем. Тайвань обвинил Tokyo Electron в допущении кражи секретных технологий TSMC
02.12.2025 [18:42],
Павел Котов
Тайваньская прокуратура официально обвинила Tokyo Electron в неспособности предотвратить предполагаемый инцидент с кражей её сотрудниками информации, составляющей коммерческую тайну Taiwan Semiconductor Manufacturing Co. (TSMC), обострив спор между двумя крупными компаниями из отрасли.
Источник изображений: tsmc.com Японской компании по нескольким пунктам предъявлены обвинения в нарушении законов о коммерческой тайне и национальной безопасности. Прокуратура просит суд удовлетворить её ходатайство о взыскании с Tokyo Electron штрафа за неисполнение обязанностей по предотвращению кражи. В августе были предъявлены обвинения трём лицам, в том числе бывшему сотруднику обеих компаний, — в сговоре с целью кражи сведений, составляющих коммерческую тайну крупнейшего и важнейшего производителя на Тайване. Tokyo Electron всё ещё пытается разобраться в подробностях заявления тайваньских властей, заявили в компании. Инцидент привлёк внимание к малоизвестному до этого момента японскому производителю оборудования для TSMC и других компаний, занимающихся выпуском микросхем. По версии следствия, бывший сотрудник TSMC по фамилии Чэнь (Chen), который перевёлся в Tokyo Electron, попытался получить доступ к конфиденциальным данным TSMC и убедил бывших коллег поделиться защищёнными патентами технологиями. Японская компания заявила, что уволила сотрудника своего тайваньского филиала, согласилась сотрудничать со следствием и не сумела обнаружить подтверждения, что предположительно похищенные данные были переданы третьим лицам. Пока отсутствует ясность, были ли у Tokyo Electron вообще мотивы к краже секретной информации TSMC — наряду с Applied Materials и Lam Research японская компания играет важнейшую роль в обеспечении тайваньского полупроводникового подрядчика, Samsung и Intel оборудованием для нанесения покрытий, травления, обработки и очистки кремниевых пластин для создания полупроводниковой продукции. В тайваньской прокуратуре считают, что, завладев этими технологиями, Tokyo Electron обрела бы возможность усовершенствовать своей оборудование для травления и получила бы у TSMC сертификат для применения этого оборудования в работе с передовыми техпроцессами класса 2 нм. Обвиняемые намеревались использовать эти технологии и вне Тайваня, уверено следствие. TSMC заявила о намерении укрепить свои системы мониторинга и тесно сотрудничать с регулирующими органами по мере необходимости, чтобы защитить свою конкурентоспособность. Техпроцесс TSMC A16 оказался никому не нужен кроме Nvidia — Apple сразу перескочит на 1,4 нм
01.12.2025 [21:23],
Николай Хижняк
Компания Nvidia оказалась единственным заказчиком в условной очереди на техпроцесс A16 от TSMC. Компания собирается использовать его для своих будущих графических процессоров для ИИ с кодовым именем Feynman. Об этом сообщает издание DigiTimes.
Источник изображения: Nvidia Nvidia планирует начать выпуск образцов Feynman в 2026 году, а к массовому производству приступить в 2027-м. Таким образом, они появятся после ускорителей Rubin, построенных на усовершенствованном 3-нм техпроцессе. Сообщается, что Nvidia станет единственной крупной компанией, выбравшей «переходный» техпроцесс между техпроцессами N2 и A14. В свою очередь Apple резервирует мощности TSMC для выпуска продуктов на основе техпроцесса N2 (2 нм) и планирует перейти на использование техпроцесса A14 (1,4 нм), как только он станет доступен, тем самым минуя технологию A16 (1,6 нм).
Источник изображения: TSMC Техпроцесс A16 от TSMC подразумевает использование конструкции нанолистовых транзисторов GAA с системой подачи питания с обратной стороны подложки, известной как Super Power Rail (SPR). Последняя отделяет маршрутизацию питания от сигнальных слоёв, что снижает потери при подаче. Техпроцесс обещает тактовую частоту до 10 % выше при том же напряжении, энергопотребление на 15–20 % ниже при той же частоте и сложности чипа и плотность транзисторов — на 7–10 % выше, чем у предшествующего техпроцесса N2P. Для очень больших кристаллов чипов и высокопроизводительных видеокарт эти усовершенствования могут привести к более чистой планировке, более простому управлению температурой, а также увеличению ёмкости памяти и пропускной способности межсоединений. Хотя эти улучшения существенны для чипов Nvidia, предназначенных для центров обработки данных, мобильные и портативные чипы Apple могут получить ещё больше преимуществ от менее дорогого узла N2 и его вариаций.
Источник изображения: Nvidia Помимо Apple, техпроцесс TSMC N2 и его вариации, вероятно, будут использовать AMD и другие разработчики чипов. Одной из особенностей N2 является NanoFlex — метод настройки на уровне ячеек, представленный в техпроцессе N2, который позволяет разработчикам найти баланс между скоростью и эффективностью. Этот подход позволяет добиться 15 % прироста частоты или снижения энергопотребления до 30 %. TSMC ранее подтвердила, что N2 уже находится в серийном производстве. Компания планирует начать наращивать производство по улучшенному техпроцессу N2P со следующего года. Тайваньские следователи обыскали дома экс-вице-президента TSMC по делу о передаче секретов в Intel и забрали всю электронику
28.11.2025 [01:44],
Николай Хижняк
Тайваньская прокуратура сообщила в четверг, что следователи провели обыски в домах бывшего высокопоставленного руководителя TSMC и изъяли компьютеры после того, как компания обвинила его в разглашении коммерческой тайны. Компания Intel, его нынешний работодатель, какие-либо подозрения отвергает, пишет Reuters.
Источник изображения: TSMC Компания TSMC, крупнейший в мире контрактный производитель микросхем и основной поставщик чипов для таких компаний, как Nvidia, заявила во вторник, что подала иск в Тайваньский суд по интеллектуальной собственности и коммерческим вопросам против своего бывшего старшего вице-президента Вэй-Джена Ло (Wei-Jen Lo). В заявлении тайваньской прокуратуры по делам интеллектуальной собственности говорится, что Ло подозревается в нарушении Закона о национальной безопасности Тайваня. В среду днём следователи, действуя на основании ордера, провели обыск двух домов Ло, изъяв компьютеры, USB-накопители и другие улики, сообщается в ведомстве. Суд также одобрил ходатайство об аресте его акций и недвижимости, говорится в заявлении. Ло и Intel не ответили на запрос издания прокомментировать ситуацию. Ранее в четверг Intel опровергла обвинения TSMC. «Основываясь на всём, что нам известно, у нас нет оснований полагать, что обвинения в отношении господина Ло имеют под собой какие-либо основания», — говорится в заявлении Intel, отправленном по электронной почте. В Intel заявили, что компания придерживается строгих политик и мер контроля, которые строго запрещают использование или передачу какой-либо конфиденциальной информации или интеллектуальной собственности третьим лицам. «Мы серьёзно относимся к этим обязательствам», — отметила Intel. Американский производитель микросхем сообщил, что рад возвращению Ло и что он пользуется широким уважением в полупроводниковой отрасли за свою честность, лидерские качества и технический опыт. «Перемещение кадров между компаниями — это привычное и здоровое явление в нашей отрасли, и эта ситуация не исключение», — добавила компания. Ло, который помог TSMC наладить массовое производство передовых 5-нм, 3-нм и 2-нм чипов, присоединился к Intel в октябре, уйдя из TSMC после 21 года работы в компании. До прихода в TSMC в 2004 году Ло проработал в Intel 18 лет. В заявлении TSMC говорится, что «существует высокая вероятность того, что Ло использует, разглашает, раскрывает или передаёт коммерческие секреты и конфиденциальную информацию TSMC компании Intel, что делает необходимым принятие правовых мер». Intel охотится за инженерами TSMC в Аризоне — зарплаты обещают на 20–30 % выше
27.11.2025 [10:09],
Алексей Разин
Если верить тайваньскому изданию Liberty Times, все рассуждения руководства Intel о свободе выбора работодателя могут иметь отношение не только к скандалу с приёмом на работу бывшего старшего вице-президента TSMC, но и охотой за кадрами меньшего масштаба. По слухам, Intel сейчас активно переманивает специалистов TSMC в Аризоне, где у последней недавно начало работать передовое предприятие по выпуске чипов.
Источник изображения: TSMC Поскольку у Intel в этом штате тоже имеется несколько предприятий, вопрос с переездом для потенциальных «перебежчиков» будет не таким болезненным. Как отмечается, Intel завлекает специалистов TSMC не только увеличенным на 20–30 % размером оплаты труда, но и видимостью более низкой функциональной нагрузки, которая обещает быть вдвое ниже. Возможно, такое расхождение объясняется различиями в корпоративной культуре Тайваня и США, ведь если в первом случае сверхурочная работа и ночные визиты на производство для срочного устранения проблем являются обычным делом, то в США всё подчинено строгому распорядку и принципу «обед по расписанию». Напомним, что именно в Аризоне Intel недавно начала выпуск чипов по технологии 18A, а первое из предприятий TSMC в этом штате специализируется на выпуске достаточно продвинутых 4-нм изделий. Другими словами, полученный на этой площадке TSMC опыт легко может быть использован инженерами в случае перехода в Intel — с соблюдением всех ограничений, связанных с коммерческой тайной, разумеется. По данным тайваньских источников, Intel в этой затее уже преуспела, приняв на работу нескольких выходцев из TSMC. |