Сегодня 19 апреля 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → 7 нм

Эксперимент с модернизированной микроволновкой помог приблизить TSMC к освоению 2-нм производства чипов

Исследователи из Корнеллского инженерного колледжа смогли на основе обычной, слегка модернизированной микроволновой печи показать возможность создания полупроводниковых материалов для выпуска нового поколения чипов. Эксперимент проводился на обычной бытовой микроволновке по заказу компании TSMC. В дальнейшем этот тайваньский чипмейкер может внедрить предложенный техпроцесс при производстве 2-нм чипов.

 Источник изображения: Ryan Young/Cornell University

Источник изображения: Ryan Young/Cornell University

Для подготовки полупроводниковых материалов к производству по мере снижения масштаба технологических норм необходимо всё более сильное легирование кристаллического кремния. Но кремний не резиновый, и по мере насыщения сторонними добавками кристаллическая структура искажается до риска разрушения. При этом процесс легирования сопровождается отжигом — нагревом смесей до очень высоких температур, чтобы добавленное вещество, в частности фосфор, равномерно распределилось по кремнию.

С определённого момента в кремний нельзя привычным образом вместить ещё больше фосфора, что требуется для повышения электронной проводимости для более мелких масштабов. Обычное нагревание не позволяет создавать однородные смеси и говорить о стабильности таких полупроводников нельзя.

«Нам нужны концентрации фосфора, превышающие его равновесную растворимость в кремнии. Это противоречит природе, — сказал один из авторов исследования. — Кристалл кремния расширяется, вызывая огромную деформацию и делая его потенциально бесполезным для электроники».

В своё время специалисты TSMC предположили, что для активации избыточных легирующих элементов можно использовать микроволны, но, как и в бытовых микроволновых печах, которые иногда неравномерно нагревают пищу, предыдущие микроволновые печи для отжига создавали «стоячие волны», что мешало последовательной активации легирующих элементов. Поэтому TSMC в сотрудничестве с учёными Корнеллского инженерного колледжа модифицировали микроволновую печь, чтобы выборочно контролировать место возникновения стоячих волн. Такая точность позволяет правильно активировать легирующие элементы без чрезмерного нагрева или повреждения кремниевого кристалла.

Сделанное открытие может быть использовано для производства полупроводниковых материалов и электроники примерно к 2025 году, утверждают разработчики, которые также оформили два патента на изобретение.

«В настоящее время несколько производителей выпускают полупроводниковые материалы размером 3 нанометра, — заявляют авторы. — Этот новый микроволновый подход потенциально может позволить ведущим производителям, таким как TSMC и Samsung, снизить масштаб производства до 2 нанометров».

TSMC начнёт выпускать 3-нм чипы в сентябре

Компания TSMC начнёт массовое производство чипов по техпроцессу 3 нм (N3) в следующем месяце, сообщает издание Commercial Times. Поставки клиентам TSMC первых продуктов, выполненных на базе техпроцесса N3, ожидаются в начале следующего года.

 Источник изображений: TSMC

Источник изображений: TSMC

Обычно TSMC начинает массовое производство по новым техпроцессам весной, чтобы успеть выпустить достаточное количество чипов к запуску новых моделей iPhone от Apple, релиз которых обычно происходит в сентябре. Однако разработка узла N3 заняла больше времени, чем обычно. Именно поэтому Apple будет использовать в будущих смартфонах другой техпроцесс. Несмотря на это, именно Apple станет первым клиентом TSMC, который получит чипы, выполненные по 3-нм техпроцессу.

Технология N3 по сравнению с 5-нм N5 позволит увеличить частоты на 10–15 % при сохранении того же уровня энергопотребления или понизить энергопотребление кристаллов на 25–30 % при сохранении частоты. Также новые производственные нормы дадут возможность увеличить плотность размещения транзисторов на кристалле примерно в 1,6 раза.

Одной из главных особенностей узла N3 является технология FinFlex, которая должна увеличить для заказчиков привлекательность чипов, выпускаемых компанией. Суть технологии состоит в том, что производитель позволит использовать разные виды FinFET-транзисторов в рамках одного полупроводникового кристалла. Технология может принести пользу сложным продуктам, таким как центральные и графические процессоры. Поэтому компании Apple, AMD, Intel и NVIDIA смогут выпускать более производительные решения под определённые вычислительные задачи.

В перспективе TSMC запустит техпроцессы N3E, N3P и N3S. Первый будет представлять собой оптимизацию N3, а последние два — оптимизации N3E под различные классы применений. N3P ориентируется на высокопроизводительные чипы, а N3S — на энергоэффективные чипы с повышенной плотностью транзисторов. Оба техпроцесса запланированы к запуску на 2024 год, а N3E появится в 2023-м.

Китайская SMIC уже около года выпускает 7-нм чипы на старом оборудовании — они похожи на решения TSMC

Аналитическая компания TechInsights, которая занимается вскрытием различных чипов для их независимого анализа, выявила использование китайским производителем SMIC 7-нм технологического процесса при производстве ASIC-чипов для майнинга биткоина. До этого считалось, что SMIC массово выпускает только 14-нм чипы и экспериментирует с 12-нм техпроцессом. На практике оказалось, что компания с прошлого года массово поставляет 7-нм продукцию.

 Плата и чип. Источник изображения: TechInsights

Плата и чип ASIC-майнера. Источник изображения: TechInsights

Специалисты TechInsights приобрели для анализа чипы MinerVa производства SMIC. Исследование кремниевой структуры чипа показало, что элементы китайской микросхемы очень и очень похожи на те, которые инженеры TechInsights наблюдали при вскрытии чипов производства тайваньской TSMC. Аналитики не готовы утверждать, что SMIC скопировала технологию TSMC, но дают последней повод начать судебные разбирательства о возможной краже технологий.

Следует напомнить, что никакого сюрприза в способности SMIC выпускать условно 7-нм чипы нет (очевидно, что это маркетинговые 7 нм). Компания ещё в 2020 году сообщала, что намерена начать в 2021 году мелкосерийное производство чипов с использованием техпроцесса N+1 FinFET второго поколения. Эти чипы будут лучше 14-нм FinFET либо по производительности (+20 %), либо по энергопотреблению (-57 %). Производство чипов для майнинга биткоина, кстати, идеально подходит для обкатки новых техпроцессов, что также подтвердило вскрытие. Такие микросхемы имеют повторяющиеся структуры и содержат минимум ячеек памяти.

TSMC при переходе от техпроцесса с нормами 14 нм к нормам 7 нм обещала чуть лучше масштабировать производительность с ростом до 35 %, но дала похожую экономию по потреблению, что не позволяет изделию SMIC выглядеть хуже. При этом SMIC использует для производства классические сканеры с лазером 193 нм, а TSMC частично использует сканеры EUV с длиной волны 13,5 нм.

Вероятно, 7-нм техпроцесс SMIC обходится несколько дороже 7-нм техпроцесса TSMC за счёт большего числа экспозиций на каждый критически важный слой микросхем, но под давлением санкций это абсолютно неважно. Понимают ли это западные партнёры Китая? Очевидно, понимают, поэтому также пытаются запретить поставки в Китай 193-нм сканеров.

Samsung нашла первого клиента на свой 3-нм техпроцесс — китайского производителя ASIC-майнеров PanSemi

Компания Samsung нашла первого клиента на производство чипов по 3-нм техпроцессу, о запуске которого она сообщила на днях. Этим клиентом стала китайская компания PanSemi, выпускающая оборудование для майнинга биткоинов. Об этом сообщает издание The Elec.

 Источник изображения: Finbold

Источник изображения: Finbold

Использование передового 3-нм техпроцесса Samsung для ASIC-майнеров позволит сократить уровень энергопотребления последних на 30 % и при этом добиться примерно 15-процентной прибавки к производительности. Отмечается, что предварительные испытания новых 3-нм ASIC-майнеров уже проводятся.

Источники издания указывают, что американская компания Qualcomm тоже зарезервировала мощности Samsung для производства 3-нм полупроводниковых продуктов и договорилась с южнокорейским гигантом о том, что она получит доступ к ним в любое время, когда это будет необходимо. Qualcomm ранее уже резервировала мощности Samsung для производства чипов по 4-нм техпроцессу, однако соглашение было расторгнуто, так как южнокорейский технологический гигант так и не начал массовый выпуск таких чипов.

Передовой 3-нм техпроцесс производства основан на технологии Gate All Around FET (GAAFET, транзисторы с всеохватывающим затвором), которая отличается от традиционной технологии FinFET, применяющейся при производстве микросхем на основе 7-нм и 5-нм техпроцессов. Технология GAAFET позволяет сократить площадь транзисторов, одновременно увеличить плотность их размещения, снизить уровень энергопотребления и повысить производительность продуктов на их основе.

TSMC внедрит транзисторы с круговым затвором при переходе на 2-нм техпроцесс в 2025 году

Компания TSMC подтвердила планы перехода на новые техпроцессы, транзисторы и технологии. В распространённом компанией документе чипы на 2-нм техпроцессе она начнёт выпускать в 2025 году и одновременно откажется от вертикально расположенных транзисторных каналов FinFET в пользу горизонтально расположенных каналов в виде наностраниц. О законе Мура на время придётся забыть, но энергоэффективность чипов существенно вырастет.

 Эволюция транзисторов. Источник изображения: Samsung

Эволюция транзисторов. Источник изображения: Samsung

Тайваньская TSMC отстаёт от компании Samsung по темпам перехода на новые (наностраничные) транзисторы GAAFET (Gate All Around) с круговым затвором. Само по себе это рискованное мероприятие — переход на новую структуру транзистора. Компания Samsung планирует начать производство чипов с наностраничными транзисторными каналами в конце текущего года в рамках 3-нм техпроцесса. Интересно отметить, что с новым руководством Intel в лице Патрика Гелсингера микропроцессорный гигант также пообещал форсировать переход на новые транзисторы GAAFET (в компании эта технология называется RibbonFET) и надеется сделать это примерно в 2024 году или на год раньше TSMC.

Транзисторные каналы в виде наностраниц (nanosheet) это почти такие же «рёбра» FinFET, только расположенные параллельно подложке кристалла, тогда как FinFET создаются перпендикулярно подложке. Из расположения наностраниц также следует, что затворы, материал которых страницы пронизывают насквозь от истока к стоку транзистора, окружают каналы-наностраницы со всех четырёх сторон. Большая площадь соприкосновения и большее число каналов-наностраниц позволяет увеличить токи через канал транзистора GAAFET и улучшить его управляемость.

 Источник изображения: TSMC

Источник изображения: TSMC

Также важным свойством наностраниц является более тонкая настройка транзисторов под задачи чипа, отсюда следует резко растущая энергоэффективность решений. Можно менять число страниц (каналов) и их ширину: для производительных чипов делать транзисторы с более широкими наностраницами, а для энергоэффективных — поуже.

Интересно отметить, что TSMC также определилась, хотя это не окончательный выбор, со следующей конструкцией транзистора после 2-нм транзисторов с наностраницами. После наностраниц компания рассчитывает наладить производство чипов с транзисторами CFET (см. рисунок ниже). В своё время мы тоже рассказывали о таких. Одним из разработчиков транзисторов CFET или комплементарных FET является бельгийский центр Imec. Транзисторы CFET состоят из пары комплементарных полевых транзисторов n- и p-типа, но расположены они друг над другом, что позволяет, грубо говоря, в два раза увеличить плотность размещения транзисторов на кристалле.

 Источник изображения: Imec

Источник изображения: Imec

«Это [CFET] всё еще находится на стадии исследования, — сказал глава TSMC в интервью EE Times. — Это всего лишь один из вариантов транзистора. Я не думаю, что могу назвать сроки, когда эта транзисторная технология пойдет в производство».

Возвращаясь к 2-нм техпроцессу, отметим, что до его внедрения TSMC рассчитывает на долгую жизнь 3-нм техпроцесса с привычными транзисторами FinFET. Между этими техпроцессами будет не меньше трёх лет, но даже после начала производства 2-нм чипов компания рассчитывает долго продолжать выпуск 3-нм решений — они будут надёжными и проверенными временем.

NVIDIA почти до максимума загрузила 5-нм линии TSMC новыми заказами на ИИ-чипы

NVIDIA размещает срочные заказы у TSMC на H100 и A100, а также на H800 и A800, разработанные специально для Китая. Заказы NVIDIA уже повысили коэффициенты использования семейства 7-нм и 6-нм техпроцессов TSMC, которые ранее быстро падали на фоне ослабления спроса, а коэффициенты использования цехов с техпроцессами 5-нм и 4-нм быстро растут, достигнув почти полной мощности.

 Источник изображения: Nvidia

Источник изображения: Nvidia

Объявляя результаты компании за первый квартал финансового 2024 года, генеральный директор NVIDIA Дженсен Хуанг (Jensen Huang) отметил, что компьютерная индустрия переживает два перехода одновременно, а именно ускоренные вычисления и генеративный ИИ. «Глобальная инфраструктура ЦОД переходит от вычислений общего назначения к ускоренным вычислениям, поскольку компании стремятся применять генеративный ИИ в каждом продукте, услуге и бизнес-процессе, — говорится в пресс-релизе NVIDIA. — Все наше семейство продуктов для ЦОД H100, Grace CPU, Grace Hopper Superchip, NVLink, Quantum 400 InfiniBand и BlueField-3 DPU — находится в производстве. Мы значительно увеличиваем поставки, чтобы удовлетворить растущий спрос».

Nvidia оседлала волну искусственного интеллекта, спровоцированную появлением ChatGPT. Компания имеет подавляющее преимущество на рынке графических процессоров с ИИ. После санкций США против Китая в отношении чипов, NVIDIA быстро представила урезанные версии своих ускорителей ИИ H100 и A100, чтобы обойти запрет. Спрос на эти ускорители под названиями H800 и A800 со стороны крупных китайских фирм, таких как Baidu, остаётся стабильно высоким.

Nvidia сообщила о впечатляющих финансовых результатах за первый квартал 2024 финансового года, при этом выручка увеличилась на 19 % по сравнению с аналогичным периодом прошлого года и достигла $7,19 млрд. Перспективы на второй квартал не менее радужные.

От популярности ускорителей ИИ NVIDIA выигрывает также и её основной партнёр по производству микросхем, TSMC. Ранее TSMC была склонна делать ставку на заказы от Apple, поскольку не ожидала притока срочных заказов от NVIDIA. А негативные прогнозы TSMC на 2023 год основывались на ухудшении макроэкономических показателей и снижении спроса на конечном рынке. Теперь же TSMC может пересмотреть свой прогноз на весь 2023 год в сторону повышения.


window-new
Soft
Hard
Тренды 🔥
LG выпустила флагманский саундбар S95TR за $1500 с поддержкой Dolby Atmos и настройкой с помощью ИИ 5 мин.
Corsair представила обновлённые доступные проводные гарнитуры HS35 v2 для геймеров 2 ч.
Tesla отзовёт все проданные электромобили Cybertruck для замены залипающей педали газа 3 ч.
Galax выпустила полностью белую низкопрофильную GeForce RTX 4060 с крошечным заводским разгоном 4 ч.
Razer представила игровые контроллеры Kishi Ultra и Kishi V2 для смартфонов, планшетов и ПК 4 ч.
5 ГВт уже есть, ещё 2,5 ГВт на подходе: Microsoft стремительно наращивает ёмкость ЦОД и скупает ИИ-ускорители 5 ч.
На пути к квантовому интернету учёные впервые смогли записать и считать квантовую информацию в состояниях фотонов 5 ч.
Ulefone покажет на выставке «Связь-2024» новейшие смартфоны, планшеты и аксессуары 6 ч.
HPE обвинила китайскую Inspur в нарушении серверных патентов и обходе санкций США 7 ч.
Китайский автопроизводитель FAW начнёт выпускать премиальные смартфоны 8 ч.