Сегодня 29 ноября 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → производство микросхем
Быстрый переход

Власти США обещают не распространять антикитайские санкции на зрелые техпроцессы

При президенте Байдене эволюция экспортных ограничений США в октябре прошлого года дошла до включения в перечень санкционного оборудования некоторой части литографических систем для работы с глубоким ультрафиолетовым излучением (DUV). При этом американские чиновники считают важным подчеркнуть, что не собираются расширять санкции против Китая на зрелые техпроцессы.

 Источник изображения: SMIC

Источник изображения: SMIC

Соответствующее заявление в интервью ресурсу Nikkei Asian Review сделала Тэя Розман Кендлер (Thea Rozman Kendler), курирующая в Министерстве торговли США вопросы экспортного контроля, во время своего визита в американское посольство в Токио. По общепринятым понятиям, к зрелым техпроцессам относятся нормы от 28 нм и более грубые, они используются в настоящее время для выпуска компонентов, применяемых в автомобилях и потребительской электронике. Китай активно наращивает объёмы производства подобных чипов, вызывая у некоторых западных конкурентов опасения по поводу очередного кризиса перепроизводства.

В декабре Министерство торговли США выразило намерения проанализировать ситуацию с поставками чипов из Китая, выпускаемых по зрелым техпроцессам. Представительница ведомства пояснила: «Целью этого анализа было лучшее понимание специфики работы отрасли, нам нужно было убедиться, что наши цепочки поставок достаточно надёжны. Мы приложили все усилия к тому, чтобы обеспечить предельную фокусировку экспортного контроля в полупроводниковой сфере только на сегментах, затрагивающих интересы национальной безопасности и ничего более».

В октябре правила экспортного контроля США охватили 45 новых стран, с которыми у Китая сложились тесные торговые связи. Власти США были обеспокоены тем, что КНР может использовать эти страны для обхода экспортных ограничений. Говоря об осеннем дебюте смартфонов Huawei семейства Mate 60 на основе 7-нм процессора китайского производства, госпожа Кендлер подчеркнула, что данный прецедент сам по себе ещё не означает, что Китай может выпускать 7-нм чипы в массовых количествах с приемлемым уровнем брака, и что они действительно выпускаются именно по 7-нм технологии. По мнению чиновницы, экспортные ограничения США после грядущих президентских выборов вряд ли изменятся по своему содержанию.

Samsung вдвое сократила производство флеш-памяти NAND даже на фоне роста цен

Цены на твердотельную память растут с октября, и в декабре прошлого года они последовательно увеличились на 8,87 %, прервав многомесячный тренд падения. Тем не менее, крупнейший производитель памяти в лице Samsung Electronics считает нужным продолжать сокращение объёмов выпуска NAND ради дальнейшей стабилизации ситуации на рынке.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Об этом сообщило южнокорейское издание The Chosun Daily со ссылкой на представителей полупроводниковой отрасли. Профильные мощности Samsung по выпуску памяти типа NAND сейчас загружены лишь на 50 %, и компания не считает нужным прекращать сокращение объёмов выпуска такой продукции в текущих условиях. По словам представителей Samsung, взятый на снижение объёмов производства флеш-памяти курс пока остаётся неизменным.

Как поясняют эксперты, проблема заключается в сохраняющейся высокой концентрации владельцев серверных систем на приобретении компонентов, которые нужны для повышения производительности систем искусственного интеллекта. К ним в первую очередь относятся память типа HBM и DDR, ускорители вычислений и центральные процессоры. Накопители как таковые для модернизации серверного парка сейчас закупаются по остаточному принципу. Многие компании даже предпочитают в этом случае отказаться от твердотельных накопителей в пользу более медленных, но более вместительных жёстких дисков на магнитных пластинах.

SK hynix уже распродала всю память HBM, которую выпустит в текущем году

Пока SK hynix удаётся удерживать статус основного поставщика микросхем HBM3 для компании NVIDIA, которая оснащает ею свои востребованные на рынке ускорители вычислений. Несмотря на планы SK hynix в текущем году удвоить объёмы выпуска памяти HBM, вся производственная программа на 2024 год уже распродана, как признаёт руководство компании.

 Источник изображения: SK hynix

Источник изображения: SK hynix

Соответствующие заявления сделал на страницах ресурса Korea IT Times вице-президент SK hynix по продажам и маркетингу Ким Ги Тхэ (Kim Ki-Tae). По его словам, компания была пионером в производстве HBM, предвидев развитие тех сегментов рынка, которые нуждаются в скоростной памяти, но и в этом году она сделает всё возможное для защиты своих лидирующих позиций. Разработка, выпуск и продажи HBM теперь курируются в составе SK hynix специальным подразделением, работу которого курирует как раз Ким Ги Тхэ. По его словам, спросом в текущем году будет пользоваться не только HBM3E, но и оперативная память типов DDR5 и LPDDR5T.

Все производственные квоты на выпуск HBM компания уже распродала до конца текущего года, и уже сейчас готовится к выполнению заказов в 2025 году, рассчитывая сохранить за собой лидирующие позиции на рынке и в следующем году. По прогнозам аналитиков, операционная прибыль SK hynix в этом году достигнет $7,5 млрд. На фоне прошлогодней выручки в размере $24,5 млрд это будет весьма достойным результатом, особенно с учётом необходимости нести расходы на кратное увеличение объёмов выпуска памяти типа HBM.

Выделенных $39 млрд не хватит на восстановление производства чипов в США, признала министр торговли США

Главным итогом выступления министра торговли США Джины Раймондо (Gina Raimondo) на мероприятии Intel Foundry Direct Connect стало заявление о недостаточности выделенных «Законом о чипах» 2022 года субсидий в размере $39 млрд на строительство предприятий по выпуску полупроводников для восстановления лидерства страны в технологической сфере.

 Источник изображения: Intel

Источник изображения: Intel

Как пояснила чиновница, чтобы выбиться в мировые лидеры в полупроводниковой сфере, США потребуется принять второй «Закон о чипах», предусматривающий дополнительные субсидии. Напомним, что из $52 млрд субсидий, предусмотренных первым таким законом, на нужды строительства предприятий на территории США будут направлены только $39 млрд. Ещё $75 млрд предполагается выделить в виде целевых кредитов. Из крупных производителей чипов пока только GlobalFoundries получила $1,5 млрд на развитие своих американских предприятий. Компания Intel, которую Джина Раймондо вчера назвала «американским чемпионом», своих субсидий пока не получила, но генеральный директор Патрик Гелсингер (Patrick Gelsinger) пообещал, что заявление об их выделении будет сделано в ближайшее время. Близость принятия такого решения подтвердила и сама Джина Раймондо во время своего выступления.

«Я подозреваю, что понадобится так называемый «Закон о чипах – 2» или какой-то иной способ обеспечения дополнительных инвестиций, если мы хотим занимать лидирующую позицию в мире», — пояснила чиновница. При этом она подчеркнула, что стремление выпускать абсолютно весь ассортимент чипов в Америке не является рациональным, и подобные цели не ставятся. Важно лишь диверсифицировать цепочки поставок таким образом, чтобы больше чипов выпускалось в США, особенно передовых, поскольку это будет важным условием развития систем искусственного интеллекта.

По словам Раймондо, опыт общения с основателем OpenAI Сэмом Альтманом (Sam Altman) и другими представителями отрасли позволяет ей понять, что потребность рынка в чипах измеряется «умопомрачительными количествами». Напомним, что Альтман пытается собрать несколько триллионов долларов США для организации выпуска ускорителей вычислений в значительно больших количествах по сравнению с нынешним уровнем, а также привлечь средства инвесторов для разработки собственных ускорителей. Подобные планы вынашивает и основатель японской корпорации SoftBank, которой принадлежит и британский разработчик процессорных архитектур Arm.

Intel готова выпускать компоненты для конкурирующих AMD и NVIDIA

Сотрудничество Intel и Arm в сфере создания экосистемы для контрактного производства чипов с соответствующей архитектурой уже является объективной реальностью: это подтверждают и многочисленные совместные заявления, и выступление главы Arm на вчерашнем мероприятии Intel IFS Direct Connect. Более того, руководство Intel открыто заявило, что компания готова выпускать компоненты по заказу AMD, NVIDIA и вообще любых клиентов.

 Источник изображения: Intel

Источник изображения: Intel

Для ресурса Tom’s Hardware подготовка к мероприятию IFS Direct Connect носила глубокий характер, поэтому редактор сайта Пол Элкорн (Paul Alcorn) не упустил возможности задать генеральному директору Intel Патрику Гелсингеру (Patrick Gelsinger) о готовности компании предложить свои передовые технологии прямым конкурентам. Глава Intel начал свой ответ с сообщения о намерениях компании провести реструктуризацию в этом году, которая сделает контрактное подразделение Intel Foundry Service более самостоятельным с точки зрения финансовых потоков. Перед специалистами этого подразделения будет поставлена задача по заполнению производственных мощностей и охвату максимально широкого спектра клиентов.

«Мы надеемся, что в этот список попадут Дженсен (NVIDIA), Криштиано (Qualcomm) и Сундар (Google), а сегодня вы могли убедиться, что в него попал и Сатья (Microsoft), я даже надеюсь, что он будет включать и Лизу (AMD) в какой-то момент в будущем», — пояснил глава Intel, рассуждая о расширении перечня клиентов компании на контрактном направлении. В конечном итоге, как добавил Гелсингер, Intel хочет стать контрактным производителем чипов мирового масштаба.

Компания будет адаптировать под нужды клиентов и собственные компоненты. Модульная компоновка с несколькими кристаллами позволит заменять отдельные блоки чипов на нужные конкретному клиенту, будь то разработанные им самостоятельно решения или что-то готовое из ассортимента предложений Intel.

Позже глава компании повторил: «Я хочу, чтобы моё контрактное производство использовалось всеми. Мы хотим помогать выпускать чипы NVIDIA и AMD, тензорные процессоры Google и нейронные процессоры Amazon». Очевидно, что передовые техпроцессы Intel будут доступны её клиентам в те же сроки, что и собственной команде разработчиков.

Intel анонсировала техпроцесс Intel 14A — его запустят в 2027 году с использованием литографии High-NA EUV

Компания Intel обнародовала свежие планы по освоению передовых техпроцессов. В том числе компания анонсировала 1,4-нм техпроцесс Intel 14A, который станет первой в мире технологией производства чипов с использованием литографии в сверхжёстком ультрафиолете с высокой числовой апертурой (High-NA EUV). Помимо этого, были анонсированы дополнения к представленным ранее планам по запуску техпроцессов.

 Источник изображений: Intel

Источник изображений: Intel

Первоначальный план генерального директора Intel Пэта Гелсингера (Pat Gelsinger), представленный в 2022 году, который подразумевал освоение пяти техпроцессов за четыре года, остается в силе. Техпроцессы Intel 7 и Intel 4 уже представлены на рынке, а Intel 3 готов к крупносерийному производству. Разработка техпроцессов Intel 20A (2 нм) и 18A (1,8 нм) идёт по плану или даже опережает его. Руководство компании ожидает, что Intel вернет себе лидерство в сфере передовых полупроводников с запуском Intel 18A в 2025 году.

Intel уже предоставила партнёрам инструменты для проектирования чипов под техпроцесс 18A в версии PDK 0.9, а финальная версия инструментов PDK 1.0 появится в апреле или мае. Кроме того, Intel уже завершила проектирование серверных процессоров Xeon Clearwater Forest, то есть они фактически готовы к производству. Clearwater Forest — станет первым крупносерийным чипом, выполненным по техпроцессу Intel 18A.

Расширенный план по освоению технологических процессов Intel включает новый Intel 14A, а также несколько специализированных версий, представленных ранее техпроцессов. Компания пока не раскрывает целевые показатели производительности и плотности для 14A, заявляя, что пока не хочет ставить конкурентов в известность. Известно, что 1,4-нм чипы Intel будут оснащены системой питания следующего поколения PowerVia (вероятно, Source-on-Contact) и транзисторами RibbonFET GAA. В планах Intel значатся две разновидности 14A: стандартная 14A и последующая улучшенная версия 14A-E, где буква E означает расширение возможностей. Это часть нового подхода Intel к созданию различных модификаций существующих техпроцессов для продления их жизненного цикла, как у TSMC и Samsung.

Intel пока не называет точные даты, но известно, что техпроцесс 14A-E будет запущен в тестовое производство в 2027 году. Соответственно можно предположить, что 14A появится в 2026 году, как минимум в тестовом виде, а к 2027-му доберётся до массового производства. Как и другие передовые техпроцессы Intel, новый 14A будет разрабатываться в Орегоне, а затем массово внедряться на других предприятиях.

Отметим, что TSMC, по неофициальным данным, начнёт использовать High-NA EUV только к 2030 году, то есть заметно позже Intel. Однако это не значит, что она автоматически отстанет в технологическом плане. Технология High-NA не будет дешевой, и, согласно отраслевым сообщениям, она не так эффективна, как технология Low-NA EUV с двойным шаблонированием. В Intel уверены, что стоимость производства чипов будет соответствовать её ожиданиям, но также отмечают, что при необходимости скорректируют стратегию.

Ещё Intel расширит свои техпроцессы Intel 18A, Intel 3, Intel 7 и Intel 16 новыми версиями. Intel планирует запускать новые техпроцессы каждые два года, а затем дополнять их расширениями каждые два года. Дополнительные техпроцессы будут обозначаться суффиксами. Буква P будет указывать на новую версию технологии с улучшенной производительностью. Суффикс T укажет на техпроцессы, оснащенные поддержкой соединения TSV (Through-silicon via), которые могут использоваться в системах с упаковкой 3D Foveros. Суффикс E будет указывать на специализированные новые функции, например, настраиваемый диапазон напряжения. Intel также запустит техпроцессы PT, в которых будет и повышена производительность, и реализована поддержка TSV, а со временем, вероятно, появятся и другие комбинированные решения.

В ближайшее время Intel также запустит техпроцесс Intel 12, который станет результатом производственного сотрудничества с UMC. Ещё отмечается, что Intel Foundry будет выпускать чипы по зрелой 65-нм технологии с помощью Tower Semiconductor. Оба этих сотрудничества имеют ключевое значение для дальнейшего расширения масштабов Intel Foundry, позволяя компании извлекать больше выгоды из уже окупившего себя оборудования и производственных мощностей — они будут заняты делом, а не простаивать.

Техпроцессы Intel 20A и Intel 18A предложат транзисторы GAA и подводку питания с обратной стороны кремниевой пластины (BSPDN). Причём последняя из технологий будет реализована на два года раньше TSMC, да и по внедрению GAA компания Intel обгонит тайваньского производителя на 1,5 года. Конечно, это вовсе не значит разгром TSMC — Samsung реализовала GAA ещё раньше, но трудности с массовым производством не позволили реализовать преимущество. И тем не менее, как минимум с технологической точки зрения Intel будет впереди, а это будет хорошим подспорьем для реализации её амбиций на рынке контрактного производства чипов.

Наиболее важным для компании является техпроцесс Intel 18A. И компания уже собрала четыре крупных заказа на производство чипов по данной технологии, и один из них включает большую предоплату, что означает, что речь идет об очень значительном количестве чипов. Ещё сегодня компания Microsoft объявила, что закажет у Intel производство своих чипов по 1,8-нм техпроцессу. Добавим, что Intel преуспела в продвижении техпроцессов Intel 16 и Intel 3, а также заключила крупные сделки на услуги по упаковке чипов.

Intel будет сдавать в аренду оборудование и производственные площадки другим производителям чипов

Завтра в Калифорнии пройдёт мероприятие IFS Direct Connect 2024, с трибуны которого Intel расскажет о своих успехах и планах в сфере контрактного производства чипов. Старший вице-президент и руководитель Intel Foundry Service Стюарт Панн (Stuart Pann) в обширном интервью ресурсу Tom’s Hardware рассказал о текущих приоритетах компании в этой сфере.

 Источник изображения: Intel

Источник изображения: Intel

Прежде всего, он дал понять, что концентрация контрактных клиентов для техпроцесса Intel 18A была заложена изначально и оправдывается экономически. Средства разработки компонентов в сотрудничестве с Cadence и Synopsys компания Intel оптимизировала таким образом, чтобы клиентам было проще разрабатывать свои чипы, которые она будет для них выпускать по так называемому ангстремному техпроцессу Intel 18A. В рамках этой технологии, помимо прочего, компания собирается реализовать подводку питания с обратной стороны кремниевой пластины, рассчитывая опередить конкурирующих TSMC и Samsung. Концентрация на передовых и более дорогих техпроцессах позволит Intel быстрее окупить свои вложения на расширение производственных мощностей и ускоренное освоение передовой литографии, хотя в ассортименте предложений этого производителя останутся и услуги, связанные с более зрелыми технологиями.

К 2030 году, как напомнил Стюарт Панн, компания Intel рассчитывает стать вторым по величине контрактным производителем чипов в мире. Возможность эффективно использовать уже окупившие себя оборудование и помещения является одним из условий развития контрактного бизнеса. Например, сотрудничество с компаниями Tower Semiconductor и UMC как раз подразумевает, что Intel передаст в использование партнёрам предприятия и оборудование, которые себя уже окупили, но могут приносить дополнительный доход вне сферы прямых интересов Intel. Компании Tower и UMC получат производственные площадки в США, они будут платить Intel за их использование. Та же UMC, например, получит от Intel оборудование, на котором можно выпускать чипы по 14-нм и 10-нм технологиям, но располагаться оно будет в помещениях, которые не подходят для установки современного оборудования для работы с EUV-литографией. Здания в итоге не будут простаивать и начнут приносить Intel доход, хотя напрямую ей самой и не понадобятся.

Услуги по упаковке чипов Intel будет оказывать сторонним клиентам и в Малайзии, и на будущем предприятии в Польше, но некоторым из них приглянутся именно предприятия в Нью-Мексико, Орегоне и Аризоне. В последнем случае клиенты смогут использовать компоненты, выпускаемые Intel по технологии 18A, и при этом не отправлять их для тестирования и упаковки за пределы США, а получать полностью обработанное на территории страны изделие. Для кого-то такая самодостаточность будет очень важна. Intel не скрывает заинтересованности оборонных заказчиков из США в её передовых техпроцессах, но в силу специфики подобной продукции распространяться о ней не будет. Для клиентов из оборонной сферы компания на этой неделе проведёт закрытое отдельное мероприятие.

Будет ли Intel упаковывать чипы по заказу NVIDIA, представитель первой из компаний пояснять не стал. Клиенты просят сохранять конфиденциальность, и даже если бы речь шла об NVIDIA, никаких исключений из правил не возникло бы. Стюарт Панн лишь дал понять, что до него дошли распространяемые прессой слухи о возможности тесного сотрудничества NVIDIA и Intel. Зато старший вице-президент компании пояснил, что если кому-то из клиентов потребуется адаптировать техпроцесс под свои конкретные нужды, Intel ему в этом не откажет.

Возрастающей конкуренции со стороны китайских производителей чипов по зрелым технологиям Intel тоже не боится, по словам Панна — просто по той причине, что они находятся в Китае, а Intel сможет предложить американским клиентам чипы местного производства на вполне привлекательных условиях. При этом Панн подчеркнул, что конкурировать с китайцами на китайском рынке у Intel вряд ли бы вышло.

Япония намерена вернуть былое величие в сфере производства чипов и выделит на это огромные средства

Недавно созданная в Японии компания Rapidus уже в 2027 году планирует начать массовое производство чипов по 2-нм техпроцессу, достигнув этого результата с нуля. По отраслевым стандартам это непосильная задача для 18-месячного предприятия в стране, которая сильно отстаёт от зарубежных конкурентов в производстве чипов. Однако власти Страны восходящего солнца хотят вернуть былое величие полупроводниковой отрасли и готовы серьёзно финансировать этот сектор.

 Источник изображения: Roméo A. / unsplash.com

Источник изображения: Roméo A. / unsplash.com

На фоне развернувшегося между США и Китаем конфликта о доступе к новейшим технологиям и оборудованию для производства чипов, правительство Японии ощутило возможность сыграть на обеспокоенности Вашингтона по поводу нацбезопасности и цепочки поставок, чтобы вернуться в сферу, в которой страна некогда доминировала. Передовые чипы являются основой для важнейших технологий, включая искусственный интеллект, системы вооружений и электромобили. Значительная часть мирового производства сосредоточена на Тайване и в Южной Корее — в регионах геополитической напряжённости.

Токио уже продемонстрировал серьёзность своих намерений, менее чем за три года выделив около 4 трлн иен ($26,7 млрд) на восстановление своей мощи в области полупроводников. Премьер-министр страны Фумио Кисида (Fumio Kishida) рассчитывает, что при содействии частного сектора поддержка отрасли достигнет 10 трлн иен ($66,52 млрд). К 2030 году страна планирует утроить продажи чипов до более чем 15 трлн иен ($99,79 млрд). Новая стратегия Японии по производству чипов включает два основных направления. Во-первых, страна стремится восстановить своё положение в качестве базы для выпуска чипов на основе зрелых технологий — она привлекает зарубежные компании щедрыми субсидиями, составляющими до половины затрат на запуск производства. Вторая часть стратегии — проект Rapidus на острове Хоккайдо, направленный на восстановление Японии как игрока в авангарде производства полупроводников.

В первой части стратегии Токио уже удалось добиться некоторого успеха. У крупнейшего в мире контрактного производителя полупроводников TSMC уже есть готовый к запуску завод за $7 млрд в городе Кумамото на юге Японии. Скоро компания построит ещё один завод и начнутся переговоры о строительстве третьего. Тайваньский гигант быстро осознал, что полупроводниковые предприятия, частично финансируемые Токио, могут стартовать намного быстрее, чем в США или в других странах.

Судьба второй части стратегии представляется гораздо менее определённой. Успех проекта Rapidus зависит от способности достичь огромного технологического скачка при отсутствии представления о том, насколько дорогостоящим и надёжным будет конечный продукт, и найдутся ли покупатели — к этой цели стремятся и лидеры отрасли. На этот раз Япония сможет положиться на США как на своего союзника, а не технологического противника: в 1986 году Вашингтон оказывал давление на Токио, чтобы тот ограничил экспорт своих чипов. В рамках проекта Rapidus компания IBM проводит обучение более сотни японских инженеров, помогая им освоить передовой опыт работы в области микросхем в США.

Наряду с TSMC в производственные и исследовательские мощности в Японии инвестируют Samsung, Micron и ASML. Скорость, с которой Токио готова оказывать помощь полупроводниковой отрасли, контрастирует с ситуацией в США, которые оказались в политическом тупике. Ещё в 2022 году здесь был принят «Закон о чипах», в рамках которого планировалось выделить $39 млрд в виде прямых субсидий для наращивания производства в стране, но о первой крупной субсидии в размере $1,5 млрд было объявлено лишь на этой неделе. Строительство завода TSMC в Аризоне задерживается; бюджетные потрясения в Германии вызывают обеспокоенность по поводу субсидий TSMC и Intel.

 Источник изображения: Maxence Pira / unsplash.com

Источник изображения: Maxence Pira / unsplash.com

У японских заводов TSMC есть все основания для успеха. Технология производства продукции первого предприятия — логики от 12 до 28 нм — уже отработана. Кумамото находится на острове Кюсю на юге Японии, где существует экосистема, объединяющая около 1000 технологических компаний — есть и клиенты, в том числе японские автопроизводители. Второй завод TSMC, о запуске которого было объявлено недавно, будет выпускать чипы по нормам 6–7 нм. К 2037 году, как ожидается, налоговые поступления от этих предприятий окупят государственные расходы.

Япония оказывается привлекательной производственной базой и по другим причинам. Страна славится высокодисциплинированной рабочей силой и надёжной сферой услуг. Падение японской иены дополнительно повысило доступность страны как производственной базы. Япония также является ключевым мировым поставщиком некоторых химикатов и оборудования, применяемых в производстве чипов. Некоторые из местных поставщиков сыграли на всплеске китайского спроса на фоне стремления Пекина нарастить существующие ноу-хау до ввода очередных ограничений. Некоторые причины привлекательности страны справедливы и для её северных регионов: Rapidus начинает свою деятельность в давно забытом регионе, где производством полупроводников занимаются всего около 20 местных предприятий.

Компания не сможет конкурировать с TSMC и Samsung в сфере коммерческой продукции, поэтому будет стремиться занять нишу рынка премиум-класса. В чипах по нормам 2 нм будет использоваться структура транзисторов GAAFET вместо ещё актуальной FinFET, что облегчит проникновение для новичков. Власти Японии выделили Rapidus 646 млрд иен ($4,3 млрд) и пообещали добавить 330 млрд иен ($2,2 млрд) — это должно покрыть половину первоначальных инвестиций в 2 трлн иен ($13,3 млрд); но компания пока не уточнила, как планирует собирать оставшиеся средства и где возьмёт ещё 3 трлн иен ($19,96 млрд), которые потребуются для расширения производства уже после запуска завода. А корпоративная Япония реагирует на проект вяло: Toyota пока выделила всего 7,3 млрд иен ($48,56 млн).

Даже если IBM подготовит для компании специалистов, Rapidus будет непросто нанять около 1000 инженеров и рабочих для запуска полупроводникового производства. С конца девяностых годов японская полупроводниковая отрасль потеряла около 30 % рабочих мест — доля страны на мировом рынке упала с более чем 50 % до менее чем 10 %. В ближайшее десятилетие дефицит кадров составит не менее 40 000 рабочих мест. Тем не менее, помимо IBM, Rapidus уже заручилась поддержкой американских Lam Research и Imec, а совместно с канадской Tenstorrent компания будет заниматься разработкой компонентов для систем ИИ.

Samsung поможет Arm оптимизировать ядра Cortex под 2-нм техпроцесс

Южнокорейская компания Samsung Electronics не оставляет попыток опередить конкурирующую TSMC на рынке услуг по контрактному производству чипов если не по количеству клиентов, то хотя бы по срокам внедрения новых литографических норм. Сотрудничество с Arm призвано облегчить клиентам последней разработку процессоров, которые Samsung сможет выпускать по 2-нм техпроцессу.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Инициатива Arm и Samsung, как поясняет TechPowerUp, направлена на широкий ассортимент рыночных решений, от серверных процессоров и ускорителей искусственного интеллекта до мобильных компонентов, включая и компоновочные варианты с чиплетами. В результате плодотворного взаимодействия Arm и Samsung клиентам обеих компаний будет проще поставить на конвейер последней процессоры с архитектурами Cortex-X и Cortex-A, которые создавались с расчётом на выпуск по 2-нм технологии корейского подрядчика. Напомним, что структуру транзисторов MBCFET компания Samsung использовала уже в рамках 3-нм технологии, поэтому внедрение транзисторов с окружающим затвором (GAA) в рамках 2-нм техпроцесса будет для неё не столь рискованным.

Arm сотрудничает в этой сфере и с другими контрактными производителями. Не так давно, например, стало известно о вовлечении компании в подготовку к производству 64-ядерных процессоров Faraday Technology с архитектурой Arm Neoverse по техпроцессу Intel 18A одноимённой компании. В целом, Arm уже сотрудничала с Intel в рамках данной технологии и при создании необходимой разработчикам экосистемы ранее, соответствующее заявление было сделано компаниями в апреле прошлого года. Появление подобного альянса с Samsung является закономерным шагом Arm по созданию условий для разработчиков процессоров, желающих выпускать свою продукцию по передовым технологиям в исполнении ведущих контрактных производителей.

Антикитайские санкции США пошли на пользу японским производителям машин для выпуска чипов

Трезво оценивая американские санкции последних лет, китайские власти стремятся добиться технологического суверенитета своей страны в сфере производства полупроводниковых компонентов хотя бы по основным направлениям деятельности. Пока усилия союзников США по ужесточению санкций не слишком хорошо синхронизируются, японские поставщики оборудования успевают неплохо зарабатывать на китайском рынке.

 Источник изображения: SMIC

Источник изображения: SMIC

Поскольку санкции США и их политических единомышленников пока концентрируются в основном на передовых литографических технологиях, китайским производителям чипов ничто не мешает активно закупать в Японии оборудование для выпуска компонентов по более зрелым технологиям. Например, в диапазоне от 28 до 45 нм. Такие чипы востребованы в широком спектре областей применения, и затраты на покупку оборудования для их выпуска тем самым проще оправдать.

Например, руководство японской компании Screen Semiconductor Solutions в интервью изданию Nikkei Asian Review призналось, что в текущем фискальном году доля выручки на китайском направлении в её случае вырастет с прошлогодних 19 до 44 %. Как недавно отмечалось, Tokyo Electron в минувшем квартале на китайском рынке получала до 46,9 % всей выручки, и такая ситуация характерна для многих японских поставщиков технологического оборудования для производства чипов.

Nikon на фоне этих событий даже сочла разумным впервые за двадцать пять лет представить новые модели оборудования в линейке i-line, которые пользуются спросом в Китае. Подобная практика позволит компании и соблюдать требования японских и американских властей в отношении экспортного контроля, и одновременно зарабатывать на растущем китайском рынке.

Другой производитель литографического оборудования из Японии, который располагает предприятием на территории Китая, пояснил, что санкции против этой страны даже помогли ему получить новые заказы от местных клиентов. Наличие локального предприятия успокаивает китайских покупателей в условиях усиливающихся санкций.

При этом, по словам некоторых японских поставщиков, китайские клиенты не брезгуют использованием доступного им в условиях санкций оборудования для попыток производства более совершенных компонентов, тем самым обходя ограничения. Не все поставщики оборудования, однако, верят в неограниченный потенциал китайского рынка. Представители компании Ebara, например, жалуются на нестабильность ситуации со спросом на своё оборудование. Многие китайские компании даже при наличии амбициозных планов по строительству предприятий могут внезапно обанкротиться. Для поставщиков оборудования эта ситуация не может быть источником оптимизма.

SK hynix начнёт изучать оборудование ASML для EUV-литографии с высоким значением числовой апертуры

В конце декабря прошлого года нидерландская компания ASML отгрузила первый экземпляр литографического сканера поколения EUV High-NA, который характеризуется высоким значением числовой апертуры и повышает разрешающую способность оборудования при производстве полупроводниковых компонентов. Получателем этой установки стала компания Intel, но руководство SK hynix утверждает, что этот корейский производитель памяти тоже интересуется таким оборудованием.

 Источник изображения: SK hynix

Источник изображения: SK hynix

Отметим, что производители памяти в целом достаточно долго тянули с переходом на так называемую EUV-литографию, и та же SK hynix начала применять профильное оборудование только в 2021 году при производстве микросхем памяти типа DRAM. По информации Business Korea, генеральный директор SK hynix Квак Но Чон (Kwak Noh-jung) на мероприятии Ассоциации производителей полупроводниковой продукции Южной Кореи поделился некоторыми планами компании относительно развития бизнеса.

Во-первых, он заявил, что не может комментировать слухи о готовности SK hynix построить предприятие по упаковке чипов HBM непосредственно в штате Индиана. По его словам, все американские штаты являются потенциальными кандидатами на размещение подобного предприятия.

Во-вторых, глава SK hynix опроверг возобновление переговоров между Western Digital и Kioxia о покупке бизнеса последней. Как известно, именно SK hynix своими возражениями сорвала эти переговоры в прошлом году. Неизменной остаётся позиция SK hynix по этому вопросу и сейчас, как отметил глава компании. Впрочем, он добавил, что если Kioxia готова к взаимовыгодному сотрудничеству с SK hynix, то последняя всегда готова рассмотреть соответствующие предложения.

Наконец, глава SK hynix признался, что компания готовится получить от ASML оборудование для производства чипов памяти с использованием EUV-литографии с высоким значением числовой апертуры. При этом он отказался пояснять, когда данное оборудование начнёт применяться компанией в условиях массового производства, но дал понять, что это произойдёт в нужный момент.

GlobalFoundries расширит производство чипов в США — власти выделят $1,5 млрд

GlobalFoundries, третий по величине контрактный производитель микросхем в мире, построит новый завод по производству полупроводников в штате Нью-Йорк и расширит существующие производственные мощности в штате Нью-Йорк и штате Вермонт. Для этих целей правительство США выделяет компании $1,5 млрд в рамках «Закона о чипах». Грант будет сопровождаться доступными кредитами на сумму $1,6 млрд, а общий объём инвестиций может достигнуть $12,5 млрд.

 Источник изображения: Reuters

Источник изображения: Reuters

По словам представителей администрации США, проекты, финансируемые в соответствии с «Законом о чипах», создадут в течение десяти лет более 10 000 рабочих мест с высокой заработной платой и социальными льготами. «Нам, как отрасли, необходимо обратить внимание на увеличение спроса на чипы, произведённые в США, а также на увеличение численности высокопрофессиональных работников в сфере полупроводников в США», — считает президент и генеральный директор GlobalFoundries Томас Колфилд (Thomas Caulfield).

«Чипы, которые GlobalFoundries будет производить на этих новых предприятиях, важны для нашей национальной безопасности», — заявила министр торговли Джина Раймондо (Gina Raimondo). Она отметила, что это уже третье решение правительства по исполнению «Закона о чипах», и её ведомство планирует в ближайшее время выделить ещё несколько грантов на финансирование правительственной программы стоимостью $39 млрд для стимулирования производства полупроводников.

GlobalFoundries и General Motors 9 февраля объявили о долгосрочном соглашении на поставку чипов американского производства, чтобы избежать дефицита, вызвавшего остановку производства, из-за которой не были выпущены миллионы автомобилей во время пандемии COVID-19. «Сегодняшнее решение гарантирует, что подобное не повторится», — заявила Раймондо.

По её словам, новый завод в штате Нью-Йорк будет выпускать дорогостоящие чипы, которые в настоящее время не производятся в США. А обновлённое предприятие в штате Вермонт станет первым в США крупномасштабным производителем полупроводников нового поколения на основе нитрида галлия.

В США разучились быстро строить заводы для выпуска чипов, и виновата в этом бюрократия

Как выяснилось, одних денег и желания недостаточно, чтобы в США появились новые заводы по выпуску чипов. Анализ ситуации показал, что система получения разрешений на строительство и проведение работ превратилась в болото бюрократии. Бюрократические законы тормозят процесс на трёх уровнях: федеральном, уровне властей штатов и местном. Необходимы срочные поправки, иначе заводы в США будут появляться с опозданием.

 Источник изображения: Michael Gaida / pixabay.com

Источник изображения: Michael Gaida / pixabay.com

Отчёт о состоянии строительства полупроводниковых заводов в США подготовил Центр безопасности и новейших технологий (CSET) на базе Школы дипломатической службы Джорджтаунского университета. Согласно выводам специалистов центра, строительство полупроводниковых заводов в США является одним из самых медленных во всём мире, в чём необходимо винить беспорядочную и сложную политику регулирования этой сферы.

В отчете сделан вывод, что одного «Закона о чипах» недостаточно для появления в стране новых заводов по выпуску микросхем. Чтобы они появлялись, и это происходило без существенных задержек, необходимы реформы на всех уровнях управления, без чего США не смогут сравняться с Китаем, Тайванем и даже Европой.

Аналитики CSET изучили динамику строительства производств во всём мире в период с 1990 по 2020 год и обнаружили, что для примерно 635 фабрик, построенных за этот промежуток времени, среднее время между началом строительства и запуском производства составило 682 дня. Три страны превзошли этот показатель: Тайвань (в среднем 654 дня), Корея (620 дней) и Япония (584 дня, что стало мировым рекордом). Между тем, Европа и Ближний Восток были примерно на одном уровне — 690 дней, а Китай — 701 день.

В США этот показатель составил 736 дней, что значительно выше среднего значения по миру и лучше только обстановки в Юго-Восточной Азии, где на такие работы уходил 781 день. Но это если смотреть за все годы, включая лучшие из них — 90-е и начало 2000-х. В те времена полупроводниковые заводы в США строились в среднем за 675 дней. Однако уже в 2010-х годах сроки строительства и ввода резко выросли до 918 дней, и сейчас с этим вряд ли лучше. На Тайване и в Китае, наоборот, в 2010-е годы сроки строительства ускорились до 642 и 675 дней соответственно.

Также в США сократилось число строительства таких объектов. В 90-х годах в стране было построено 55 фабрик, в 2000-х — 43, в 2010-х — 22. В то же время Китай значительно увеличил строительство новых заводов: с 14 в 90-х до 75 в 2000-х и до 95 в 2010-х годах. Хотя Китай всё ещё догоняет в области полупроводниковых технологий, он, безусловно, стал гигантом в производстве микросхем. По крайней мере, с точки зрения развёртывания производственных мощностей.

Выводы CSET не должны нас удивлять. Строительство всех новых заводов в США давно вышло за рамки первоначально утверждённых планов. Это касается завода TSMC Fab 21 в Аризоне, производства Intel в Огайо, которое теперь рассчитывают запустить не раньше 2026 года и перенос строительства завода Samsung в Техасе на 2025 год.

Согласно отчёту, жизненно важной проблемой для строительства полупроводниковых заводов в США является регулирование. Сейчас оно «выгодно для широкой общественности, но ставит производителей полупроводников перед компромиссами». Более того, аналитики охарактеризовали законодательство в этой сфере как «таинственное» (arcane). Исследование не рекомендует полностью отменять регулирование, но вместо этого предлагает убрать избыточные правила и сделать исключения для полупроводниковой промышленности.

Это следует сделать на всех уровнях от федерального до местного, а также обратить внимание на отдельных регуляторов, например организаций по охране окружающей среды, у которых свои порядки и свои взгляды на всё, что связано со строительством и эксплуатацией производственных мощностей. Если этого не сделать, резюмируют специалисты центра, то США никогда не догонят другие страны в этом вопросе, включая Китай.

Renesas купит за $5,9 млрд австралийского разработчика CAD-систем Altium

Японский производитель полупроводниковой продукции Renesas проявляет в постоянно меняющихся рыночных условиях достойную гибкость в своей бизнес-стратегии. Компания не только сочетает собственное производство чипов с использованием мощностей подрядчиков, но и готова до конца этого года обзавестись собственным разработчиком ПО для проектирования печатных плат.

 Источник изображения: Renesas Electronics

Источник изображения: Renesas Electronics

Как отмечает Data Centre Dynamics со ссылкой на официальные заявления участников сделки, во второй половине этого года Renesas рассчитывает стать обладателем активов австралийской компании Altium, основанной в 1985 году. Сумма сделки оценивается в $5,9 млрд и становится четвёртой среди крупных сделок с участием Renesas Electronics за последние несколько лет. Японский производитель чипов является крупным поставщиком микроэлектронных компонентов на конвейеры автопроизводителей типа Toyota и Nissan.

В 2016 году Renesas купила производителя чипов Intersil за $3,2 млрд, в 2018 году договорилась о покупке IDT за $6,7 млрд, а в 2021 году решила потратить $5,9 млрд на активы Dialog Semiconductor. Наконец, буквально в прошлом месяце Renesas объявила о намерениях купить американского производителя силовой электроники Transform Technology за $339 млн. Получив в своё распоряжение «придворного» разработчика ПО для проектирования печатных плат, Renesas усилит вертикальную интеграцию своего бизнеса и сможет предложить клиентам новые услуги, а также обеспечить сопровождение своих клиентов на протяжении всего жизненного цикла изделия.

История образования Renesas Electronics уходит корнями в начало прошлого десятилетия, когда полупроводниковый бизнес NEC был объединён с Renesas Technology, созданной на фундаменте профильных активов Hitachi и Mitsubishi Electric. Слияния и поглощения являются неотъемлемой частью истории развития данного бизнеса.

Intel претендует на получение более чем $10 млрд правительственных субсидий в США

До конца марта министр торговли США Джина Раймондо (Gina Raimondo) рассчитывает назвать нескольких крупных получателей субсидий на строительство предприятий по выпуску чипов, согласно принятому в 2022 году «Закону о чипах». По данным агентства Bloomberg, корпорация Intel претендует на получение более чем $10 млрд из этих средств.

 Источник изображения: Applied Materials

Источник изображения: Applied Materials

По информации осведомлённых источников, правительственная поддержка Intel будет в какой-то пропорции поделена между прямыми субсидиями и ссудами. Совокупный объём первых, напомним, достигнет $39 млрд, а в форме возвратных средств власти США готовы выделить до $75 млрд. До сих пор столь крупных субсидий, как в случае с Intel, американские власти ещё не согласовывали. Проекты в Нью-Гемпшире, Орегоне и Колорадо с участием компаний BAE Systems и Microchip Technology оперировали куда меньшими бюджетами.

Надо сказать, что и $10 млрд для Intel не кажутся столь существенной поддержкой, с учётом готовности компании вложить $20 млрд в строительство двух предприятий в Орегоне, ещё $20 млрд в модернизацию и расширение предприятий в Аризоне, а также $3,5 млрд на проект в Нью-Мексико. В прессе уже появлялись слухи о намерениях Intel задержать запуск предприятий в Огайо до 2026 года из-за отсрочки выделения субсидий, но источники из числа местных чиновников пояснили, что график реализации проекта зависит от рыночных условий, а не сроков выделения субсидий. По их словам, всё пока остаётся в пределах первоначальных рамок, предложенных Intel.

За время нахождения президента Байдена у власти в США производители чипов вложили в местную экономику более $230 млрд. По замыслу администрации президента, в стране до 2030 года должны появиться как минимум два кластера по производству чипов с использованием передовых технологий. Руководство Intel в своих рассуждениях не раз поясняло, что при реализации новых проектов оно рассчитывает до 20–30 % затрат на строительство предприятий покрывать за счёт субсидий. Судя по имеющемуся бюджету, компания попытается выдержать эту пропорцию.


window-new
Soft
Hard
Тренды 🔥
Обнаружен первый UEFI-буткит, нацеленный исключительно на Linux 4 ч.
Будущее обслуживание серверов Bloodborne подарило фанатам новую надежду — оно пройдёт в день 30-летия PlayStation 5 ч.
Riot готова заплатить до 100 тысяч долларов за поиск уязвимостей в античите в Valorant и League of Legends 6 ч.
Titan Quest 2 выйдет в раннем доступе Steam без кооператива и поддержки русского языка, но есть и хорошая новость 8 ч.
Спустя восемь лет после провального запуска обзоры No Man’s Sky в Steam стали «очень положительными» 10 ч.
В московском метро впервые за четыре года замедлился мобильный интернет 10 ч.
В Steam началась бесплатная раздача забытого предшественника Warframe — научно-фантастического экшена Dark Sector 11 ч.
ИИ научились взламывать с помощью картинок с подвохом, невидимым для человека 12 ч.
Tencent анонсировала свою Horizon Zero Dawn — экшен Light of Motiram про выживание в мире механических животных 13 ч.
Запуск цифрового рубля забуксовал — магазины не знают, как с ним работать 13 ч.
Intel представит видеокарты Battlemage уже 3 декабря — продажи начнутся 12 декабря 4 ч.
8BitDo представила геймерскую клавиатуру с парой гигантских кнопок в стиле приставки Xbox 5 ч.
Китайцы предложили навечно записывать данные в алмазах — плотность будет в 10 000 раз выше, чем на DVD 8 ч.
Huawei показал конкурента Rolls-Royce — электромобиль Maextro S800 стоимостью от $140 000 9 ч.
Dell отчиталась о росте выручки и прибыли и большом портфеле заказов на серверы, но акции всё равно упали из-за слабого прогноза 10 ч.
Смартфоны Vivo S20 и S20 Pro дебютировали с ценой от $317 — у старшего сразу четыре 50-Мп камеры 11 ч.
DLA Piper: большинство инвесторов ждут роста вложений в ЦОД несмотря на проблемы с доступом к энергии 11 ч.
Redragon RYZE — компактная механическая клавиатура с RGB-подсветкой и тихими переключателями 11 ч.
LG выпустила 27-дюймовый IPS-монитор UltraFine 27US550-W с 4K и апскейлером Super Resolution+ 12 ч.
Создатели «Глонасс» собрались защитить российские автомобили от хакеров 12 ч.