|
Опрос
|
реклама
Быстрый переход
Intel собрала гигантский ИИ-чип из 16 вычислительных кристаллов и 24 стеков HBM5
26.12.2025 [23:05],
Николай Хижняк
Intel первой разработала явно дезагрегированную чиплетную архитектуру — серверные GPU Ponte Vecchio для приложений ИИ и высокопроизводительных вычислений состояли из 47 чиплетов. Этот продукт до сих пор удерживает рекорд по количеству элементов в многослойной архитектуре, но Intel Foundry планирует нечто гораздо более экстремальное: многочиплетный корпус, объединяющий не менее 16 вычислительных элементов на восьми базовых кристаллах и 24 стека памяти HBM5.
Источник изображений: Intel Предполагается, что такая конструкция будет иметь возможность 12-кратного масштабирования до самых больших чипов для ИИ на рынке (12-кратный размер фотошаблона, превосходящий 9,5-кратный размер фотошаблона TSMC). Концептуальный многочиплетный корпус Intel 2.5D/3D демонстрирует 16 больших вычислительных элементов (движков искусственного интеллекта или процессоров), изготовленных по технологическому процессу Intel 14A или даже более сложному 14A-E (1,4 нм, расширенные возможности, транзисторы RibbonFET 2 второго поколения с круговым затвором, улучшенная система питания PowerVia Direct с обратной стороны). Вычислительные элементы расположены поверх восьми (предположительно, размером с фотошаблон) базовых вычислительных кристаллов, изготовленных по техпроцессу 18A-PT (1,8 нм, повышенная производительность за счёт сквозных кремниевых соединений TSV и системы питания с обратной стороны), которые могут либо выполнять дополнительную вычислительную работу, либо содержать большой объём кэш-памяти SRAM для «основных» вычислительных кристаллов, как показано в примере Intel. Базовые кристаллы в такой конструкции соединены с вычислительными блоками с помощью передовой технологии упаковки Foveros Direct 3D, использующей сверхплотное гибридное соединение медных контактов толщиной менее 10 мкм для обеспечения максимальной пропускной способности и энергоэффективности верхних кристаллов. Базовые кристаллы используют EMIB-T (улучшенная версия Embedded Multi-Die Interconnect Bridge с TSV), а сверху — UCIe-A для боковых (2.5D) межсоединений между собой и с кристаллами ввода-вывода, изготовленными по технологии 18A-P (1,8 нм, с улучшенной производительностью), а также с изготовленными по заказу того или иного клиента базовыми кристаллами, что позволяет разместить до 24 стеков памяти HBM5. Примечательно, что Intel предлагает использовать EMIB-T с интерфейсом UCIe-A для подключения специализированных модулей HBM5, а не стеки HBM5 стандарта JEDEC с традиционным отраслевым интерфейсом, что, возможно, призвано повысить производительность и ёмкость. Учитывая концептуальный характер разработки, использование специализированных стеков HBM5 не является обязательным требованием проектирования. Это лишь способ показать, что Intel также может интегрировать такие чипы. Весь пакет также может поддерживать PCIe 7.0, интерфейс 224G SerDes, некогерентные коммутационные сети, содержать оптические модули и собственные ускорители для таких задач, как безопасность, а также память LPDDR5X для увеличения ёмкости DRAM. Intel Foundry продемонстрировала два концепта: «среднего масштаба» с четырьмя вычислительными блоками и 12 модулями HBM и «экстремальный» — с 16 блоками и 24 стеками HBM5, о котором говорится в данной заметке. Даже конструкция среднего масштаба достаточно продвинута по сегодняшним стандартам, и Intel может производить её уже сегодня. Что касается экстремального варианта, он может появиться к концу десятилетия, когда Intel усовершенствует не только технологию 3D-упаковки Foveros Direct, но и свои производственные узлы 18A и 14A. Возможность производить такие корпуса чипов экстремального размера к концу десятилетия поставит Intel на один уровень с TSMC, которая планирует нечто подобное и даже ожидает, что по крайней мере некоторые клиенты будут использовать её решения для интеграции компонентов размером с целую кремниевую пластину примерно в 2027–2028 годах. Fujitsu участвует в разработке более доступной альтернативы HBM вместе с Intel и SoftBank
26.12.2025 [08:58],
Алексей Разин
В середине уходящего года стало известно о попытках Intel и SoftBank разработать более дешёвую альтернативу скоростной памяти HBM, которая в разных своих поколениях использовалась в сегменте ускорения вычислений. Теперь издание Nikkei Asian Review сообщает, что в проекте принимает участие и японская компания Fujitsu, создающая самые мощные в стране суперкомпьютеры.
Источник изображения: Fujitsu Учреждённая SoftBank компания Saimemory выступит в роли координатора усилий на этом направлении, Fujitsu тоже будет с ней взаимодействовать. К весне 2027 года в проект предполагается вложить $51,2 млн и получить прототип нового типа памяти, а массовое производство планируется развернуть к 2029 году. К 2027 году SoftBank вложит в капитал Saimemory около $19 млн. Национальный исследовательский институт Riken вместе с Fujitsu вложат в три раза меньшую сумму. Часть расходов на разработку нового типа памяти будет субсидироваться японским правительством. Fujitsu имеет опыт массового производства чипов, поэтому она сможет им поделиться при организации выпуска нового вида памяти, хотя и Intel в этом смысле вряд ли уступает ей по масштабу компетенций. По сравнению с HBM, новый тип памяти должен обеспечить увеличение доступного объёма в два или три раза при снижении энергопотребления в два раза. Стоимость такой памяти при этом может оказаться чуть ниже. TSMC также будет участвовать в проекте на этапе изготовления прототипов новой памяти. Intel и Токийский университет примут участие в разработке, причём первая предложит свой опыт в области упаковки чипов в вертикальном измерении. Служебные блоки будут располагаться не на плоском кристалле, а интегрироваться вертикально, что и позволит увеличить плотность обработки данных в удельном измерении. Прочие участники проекта будут отвечать за разработку скоростного интерфейса передачи информации и эффективный отвод тепла. Saimemory не будет самостоятельно заниматься выпуском разработанной памяти, поручая эту функцию подрядчикам. Потребность японской экономики в инфраструктуре ИИ при нынешних темпах развития отрасли за текущее десятилетие может увеличиться в 300 раз. Стране не помешает увеличить в этом контексте степень своей независимости от импортных технологий. Рынок HBM сейчас на 90 % контролируется двумя южнокорейскими компаниями — SK hynix и Samsung Electronics. Япония производство памяти на своей территории свернула ещё в начале века. Fujitsu этот бизнес оставила в прошлом ещё в конце двадцатого века, не выдержав ценовой конкуренции. Бум ИИ меняет ситуацию с доходностью производства памяти, поэтому новые игроки (или хорошо забытые старые) на нём появятся в ближайшие годы. Новая статья: Ryzen 9 против Core i9 и Core Ultra 9: большой тест флагманcких процессоров
26.12.2025 [07:47],
3DNews Team
Данные берутся из публикации Ryzen 9 против Core i9 и Core Ultra 9: большой тест флагманcких процессоров Судьбу Intel определила 40-минутная встреча гендира Тана с президентом Трампом
25.12.2025 [10:39],
Алексей Разин
В конце августа этого года корпорация Intel договорилась с властями США о продаже им 9,9 % своих акций. Как поясняет Reuters, этой сделке предшествовала серьёзная подготовка, причём для генерального директора Лип-Бу Тана (Lip-Bu Tan) это стало серьёзным испытанием, ибо ранее он не посещал Белый дом и последний раз жертвовал на избирательную кампанию американских президентов около 20 лет назад.
Источник изображения: Intel По информации источника, перед встречей с Лип-Бу Таном американский президент Дональд Трамп (Donald Trump) грозил ему отставкой, ссылаясь на многолетнюю историю инвестиций нынешнего главы Intel в китайские компании. Генеральному директору Intel пришлось накануне встречи с американским президентов окружить себя группой советников и пообщаться с представителями Nvidia и Microsoft, которые имеют опыт лоббирования интересов своих компаний на высшем политическом уровне в США. Члены правительства США идею частичной национализации Intel обсуждали на протяжении нескольких недель, прежде чем Тан и Трамп встретились лично, поэтому сделка была одобрена ими в сжатые сроки, сама встреча едва длилась более 40 минут. Что характерно, очевидцы указывают на отсутствие у руководства Intel намерений получать от американских властей субсидии по «Закону о чипах» как таковые. Напомним, их выделение лоббировалось ещё предыдущим генеральным директором Патриком Гелсингером (Patrick Gelsinger), непосредственно закон был подписан Джозефом Байденом (Joseph Biden), поэтому Тан и Трамп на идею использования субсидий могли смотреть с совершенно других позиций. По каким причинам Тан не был готов получать субсидии от властей США, не уточняется, но они могут иметь отношение к тем ограничениям, которые накладывались на компанию по данному закону. Сделка с Трампом подразумевала передачу 9,9 % акций Intel властям США в обмен на оставшиеся $5,7 млрд, которые в бюджете страны уже были предусмотрены по «Закону о чипах». Общая сумма выплат, доставшихся Intel в той или иной форме, достигла $8,9 млрд. Вскоре после заключения сделки с властями США, руководству Intel удалось привлечь $5 млрд от Nvidia и $2 млрд от SoftBank. Принято считать, что провернувший за свою карьеру около 600 сделок Лип-Бу Тан как раз силён в привлечении инвестиций, тогда как в его технических компетенциях некоторые эксперты сомневаются. Это не помешало ему вскоре после прихода на пост генерального директора запустить масштабные кадровые реформы, которые устранили часть руководителей среднего звена, позволяя крупным техническим специалистам напрямую обращаться к главе корпорации. Примечательно, что в условиях трудового контракта Лип-Бу Тана указывается на необходимость с его стороны уделять работе в Intel «столько времени, сколько потребуется», тогда как предшественник должен был полностью посвящать себя работе в корпорации. Формально, Лип-Бу Тан продолжает возглавлять ещё несколько компаний или инвестиционных фондов, либо входить в состав их совета директоров, хотя он и старается продемонстрировать лояльность по отношению к Intel после появления подозрений в наличии у него конфликта интересов. Накануне сообщалось, что техпроцесс Intel 18A не удовлетворил компанию Nvidia, и соответствующие новости даже на короткое время вызвали снижение курса акций первой из компании на 3,6 %, но к закрытию сессии потери были отыграны. Intel заявила, что освоение техпроцесса 18A идёт успешно, а техпроцессом Intel 14A интересуется достаточное количество потенциальных клиентов. При этом Reuters подчёркивает, что условия сделки Intel с Nvidia никак не обязывают последнюю пользоваться контрактными услугами первой. Nvidia протестировала техпроцесс Intel 18А, но до заказов дело не дошло
24.12.2025 [19:16],
Николай Хижняк
Компания Nvidia изучала возможность использования технологического процесса 18A контрактного производителя Intel Foundry, но в итоге никаких соглашений достигнуто не было, сообщает информационное агентство Reuters, ссылаясь на знакомые с ситуацией источники.
Источник изображения: Intel Это не обязательно негативный знак для Intel Foundry, поскольку многие клиенты ранее обращались к Intel с предложением протестировать технологический процесс 18A. Для разработчиков чипов обычное дело оценивать предложения конкурентов TSMC, прежде чем принимать решение о создании дополнительных производственных мощностей. Что касается технологического процесса 18A, то общее впечатление таково, что он в основном предназначен для внутренних продуктов Intel. Будущие версии, такие как 18A-P и 18A-PT, призваны привлечь внешних клиентов и, как ожидается, станут долгосрочными продуктами Intel Foundry. Технологический процесс Intel 14A становится критически важным продуктом для Intel Foundry. Его активная разработка запланирована на 2027 год. По мере того, как Intel сотрудничает с клиентами над проектированием техпроцесса, потенциальные партнёры по производству микросхем оценивают, соответствует ли будущая технология их потребностям. Первые отзывы клиентов свидетельствуют о высокой степени удовлетворенности ходом разработки, а те, кто знаком с техпроцессом, описывают его как действительно конкурентоспособный. Более активное сотрудничество между Intel и Nvidia ожидается в 2026 году. Ранее компании подписали соглашение на $5 млрд, согласно которому чиплеты Nvidia RTX будут интегрироваться в процессоры Intel для ПК и ЦОД. Конкретных деталей на этот счёт пока нет. Технически у Intel уже есть опыт работы с GPU-тайлами в имеющихся процессорах. Поэтому логично предположить, что в будущем компания сможет выпускать процессоры либо с графикой Arc, либо с чиплетами Nvidia RTX на выбор. Как ранее заявил глава Nvidia Дженсен Хуанг (Jensen Huang), сотрудничество между компаниями в рамках создания совместных процессоров началось ещё в прошлом году в условиях повышенной секретности. Intel построила в Аризоне более крупную и лучше оснащённую фабрику, чем TSMC — но есть нюансы
24.12.2025 [11:34],
Алексей Разин
В уходящем году предметом особой гордости Intel стало введение в строй нового предприятия Fab 52 в штате Аризона, на котором сейчас осваивается массовое производство чипов по передовой технологии Intel 18A так называемого «ангстремного» класса. Эта производственная площадка крупнее и оснащена лучше, чем расположенные неподалёку предприятия конкурирующей TSMC.
Источник изображения: Intel Сравнивать эти две площадки напрямую не совсем корректно, но представители Tom’s Hardware решили сделать это, опираясь на недавний отчёт CNBC о посещении предприятия Fab 52 корпорации Intel. По крайней мере, производительность этого предприятия превышает совокупные возможности обеих фаз Fab 21 — аризонского комплекса TSMC, который уже выпускает 4-нм чипы с таким же уровнем качества, как на Тайване. Технология Intel 18A сочетается со структурой транзисторов RibbonFET (GAA) и подводом питания с оборотной стороны печатной платы PowerVia, что позволяет говорить о дополнительном преимуществе применяемых в Аризоне технологий Intel по сравнению с решениями TSMC. Законодательство Тайваня не позволяет компании экспортировать самые передовые технологии за пределы острова, поэтому американские предприятия этого контрактного производителя пока на пару поколений отстают от тайваньских аналогов. Fab 52 компании Intel способна обрабатывать по 40 000 кремниевых пластин в месяц, но пока она не вышла на этот уровень. Fab 52 также может похвастать наличием передового литографического оборудования ASML. Сканеры с низкой числовой апертурой, ориентированные на работу со сверхжёстким ультрафиолетовым излучением (Low-NA EUV), имеются на предприятии в количестве четырёх штук. Как минимум один из них относится к серии Twinscan NXE:3800E, который позаимствовал у более совершенного семейства сканеров держатель пластин, источник света и более быструю обработку пластин. Это позволяет ему обрабатывать по 220 кремниевых пластин в час при плотности энергии 30 мДж/см2. Сканеры семейства Twinscan NXE:3600D при тех же энергозатратах позволяют обрабатывать каждый час до 160 кремниевых пластин. В общей сложности, Fab 52 должна разместить не менее 15 литографических сканеров для работы с EUV. Предприятие обладает достаточной площадью и для размещения более крупных и совершенных сканеров класса High-NA EUV, но пока сложно предугадать, будут ли они здесь расположены, либо достанутся строящейся Fab 62. Существующая Fab 52 может выпускать вдвое больше чипов, чем Fab 21 компании TSMC, используя более совершенные литографические технологии. Вторая фаза Fab 21 будет рассчитана на выпуск чипов по 3-нм техпроцессу, но она в совокупности с первой всё равно будет обрабатывать не более 40 000 кремниевых пластин в месяц. Это позволит Fab 52 компании Intel сохранить паритет или даже остаться в лидерах по сравнению с американскими предприятиями TSMC. Пожалуй, главной проблемой для Intel будет оставаться только низкая степень загрузки Fab 52, поскольку выпуск продукции по технологии 18A будет наращиваться очень медленно, с учётом необходимости привлечения сторонних заказов и завоевания доверия будущих клиентов. TSMC использует в США уже отлаженные техпроцессы, поэтому значительно быстрее масштабирует производство чипов. Власти США одобрили покупку кусочка Intel компанией Nvidia
20.12.2025 [11:55],
Павел Котов
Федеральная торговая комиссия (FTC) США официально одобрила партнёрский проект, в рамках которого Nvidia инвестирует в Intel $5 млрд, выкупив у неё пакет акций по фиксированной цене $23,28 за акцию. Две компании также намерены выпускать совместную продукцию для потребительских ПК и серверов.
Источник изображения: nvidia.com О совместном проекте производители объявили в сентябре: Nvidia обязалась инвестировать в Intel $5 млрд, оказав тем самым поддержку американскому производителю процессоров, который на данном этапе испытывает затруднения. Речь идёт о пакете в 5 % акций компании. Они также разрабатывают совместную продукцию на «несколько поколений» — это будут потребительские процессоры с x86-ядрами Intel и графическими чиплетами на архитектуре Nvidia. Кроме того, Nvidia поручит Intel разработку процессоров для серверных систем, ориентированных на корпоративных клиентов и гиперскейлеров. Совместный проект двух крупных производителей чипов вызвал ответную реакцию со стороны других участников рынка. AMD, в частности, упомянула его в письме в Комиссию по ценным бумагам и биржам (SEC) США: «Это партнёрство способно привести к усилению конкуренции и ценовому соперничеству с нашей продукцией, что может существенно повлиять на наш бизнес, финансовое положение и рентабельность». Планы Intel и Nvidia могут также повлиять на заказы последней у TSMC. Однако, как минимум на первых порах, этого не произойдёт — даже чиплеты для совместных с Intel процессоров Nvidia будет заказывать у тайваньского подрядчика. Intel приступила к массовому производству чипов по технологии 18A в Аризоне, но крупными сторонними заказами пока похвастать не может
20.12.2025 [07:56],
Алексей Разин
В этом году Intel ввела в строй крупный производственный корпус в Аризоне, который позволил ей приступить к массовому производству компонентов по передовому «ангстремному» техпроцессу Intel 18A. На предприятие возлагаются большие надежды, но завоёвывать доверие сторонних заказчиков придётся долго.
Источник изображений: Intel Как отмечает CNBC, чей корреспондент посетил предприятие Fab 52 в Аризоне отдельно от основной группы репортёров в ноябре, единственным массово выпускаемым изделием на этой площадке пока является компонент будущих процессоров Core Ultra 3 семейства Panther Lake, которые дебютируют в составе ноутбуков в январе следующего года. Серверные процессоры Xeon 6+ также получат компоненты, выпускаемые по технологии Intel 18A. Аналитики Futurum Group поясняют, что клиенты TSMC вложили серьёзные суммы в обеспечение стабильных поставок передовых компонентов этим тайваньским подрядчиком, поэтому распылять ресурсы на переход к Intel они пока не готовы. Примечательно, что первое предприятие TSMC на территории Аризоны расположено примерно в 80 км к северу от Fab 52 компании Intel, но там освоено производство чипов по более зрелой 4-нм технологии. Свои 2-нм чипы TSMC выпускает только на территории Тайваня, хотя и не скрывает намерений со временем организовать их выпуск в США. По ряду характеристик техпроцессы Intel 18A и 2-нм технология в исполнении TSMC сопоставимы и являются прямыми конкурентами. Считается, что пока основной проблемой для Intel является более высокий уровень брака при выпуске чипов по технологии 18A. Этот год характеризуется не только выявлением серьёзных финансовых и управленческих проблем в Intel, но и привлечением инвестиций в капитал корпорации. Власти США при президенте Трампе сочли неуместным предоставление субсидий на безвозмездной основе, которые были предусмотрены по «Закону о чипах», и вместо этого обменяли $8,9 млрд на пакет из 10 % акций Intel. Попутно японская SoftBank решилась вложить $2 млрд в Intel, а конкурирующая Nvidia не только согласилась сотрудничать в разработке процессоров, но и пообещала вложить в Intel свои $5 млрд. На днях, кстати, сделка между Intel и Nvidia была одобрена американскими антимонопольными органами. Глава клиентского бизнеса Intel Джим Джонсон (Jim Johnson) в интервью CNBC признался, что причиной недавних проблем компании в технологической сфере стало отступление от привычного ритма освоения новых техпроцессов. Компанию подвела иллюзия того, что поставленных целей можно добиваться и при удлинении технологических циклов. Теперь, стараясь наверстать упущенное, Intel намерена установить на Fab 52 не менее 15 сканеров для работы со сверхжёсткой ультрафиолетовой литографией (EUV). Во время своего первого периода работы в Intel бывший генеральный директор компании Патрик Гелсингер (Patrick Gelsinger), по словам одного из бывших членов совета директоров, отвечал за разработку дискретного графического процессора, способного конкурировать с решениями Nvidia. Инициатива под условным обозначением Larrabee, как известно, потерпела неудачу, в конечном итоге лишив Intel возможности конкурировать с Nvidia в условиях бума искусственного интеллекта. Попытки наверстать упущенное в данной сфере с тех пор сводятся к покупкам разного рода стартапов, и новое руководство Intel в этом отношении не отклоняется от такой стратегии, присматриваясь к активам SambaNova. ![]() Возглавляющий контрактное подразделение Intel Нага Чандрасекаран (Naga Chandrasekaran) признался CNBC, что для компании сейчас приоритетной целью является поиск клиентов на выпуск чипов. Для этого меняется корпоративная культура Intel, поскольку исторически компания была заточена на самостоятельный выпуск чипов для своих собственных нужд. В контрактном подразделении особое внимание уделяется исполнительской дисциплине. Уровень качества продукции, по словам Чандрасекарана, удалось заметно подтянуть и кризисная фаза уже пройдена. Fab 52 способна обрабатывать более 10 000 кремниевых пластин с чипами по технологии 18A в неделю. В этот комплекс фактически входят пять цехов, между которыми по подвесным направляющим протяжённостью около 50 км перемещаются тележки с кремниевыми пластинами. К 2028 году рядом появится шестой цех — Fab 62. По сравнению с технологией Intel 3, новая 18A обеспечивает улучшение соотношения производительности и энергопотребления более чем на 15 %. В рамках новой технологии также внедрена структура транзисторов RibbonFET, также положительно сказывающаяся на уровне энергопотребления. Не менее важно и то, что в Аризоне у Intel имеется предприятие по тестированию и упаковке чипов, использующее самые передовые методы. Они отчасти способны компенсировать отсутствие явного прогресса в сфере литографии как таковой. Комплекс в Аризоне почти на 100 % питается от источников возобновляемой энергии. До 80 % потребляемой воды он способен использовать вторично, снижая потребление из первичной сети. Более перспективный техпроцесс Intel 14A будет первично осваиваться в Орегоне, где у компании имеется профильный исследовательский центр и пилотная производственная линия. К 2028 году планируется освоить эту технологию в массовом производстве. Проблема привлечения клиентов к контрактному бизнесу Intel обусловлена конкуренцией со многими из них, поэтому в пользу отделения производственного направления высказываются даже некоторые бывшие члены совета директоров корпорации. Кроме того, американская промышленность нуждается в сильном игроке на рынке литографии, коим может стать независимая часть Intel. Формально, Microsoft и Amazon уже заключили соглашение об использовании услуг контрактного подразделения Intel, но объёмы их заказов будут незначительными, как предполагают эксперты. Глава контрактного подразделения Intel убеждён, что для всеобщего прогресса в сфере ИИ важно превратить компанию в крупного игрока на рынке услуг по производству передовых чипов. Apple, Google и возможно даже Nvidia начали присматриваться к Intel как к альтернативе TSMC
20.12.2025 [01:49],
Анжелла Марина
Крупнейшие технологические компании, включая Apple, Google и Broadcom, проявили интерес к услугам Intel по производству и упаковке чипов, сообщает PC Gamer. Это может изменить положение компании на рынке контрактного производства, где долгое время доминирует TSMC.
Источник изображения: Intel Компания Intel может получить заказы на производство и упаковку чипов от ряда крупнейших технологических фирм, включая Apple, Broadcom и Google, согласно исследованию GF Securities HK Brokerage. Данные свидетельствуют о том, что эти компании рассматривают возможность использования передовых технологических процессов Intel — 18A-P и 14A, а также технологии упаковки EMIB (Embedded Multi-die Interconnect Bridge) — для своих будущих микросхем. В частности, Apple планирует использовать эти технологии для мобильного чипа (SoC) и одного из пока неуточнённых специализированных чипов (ASIC). Google, в свою очередь, намерена задействовать их при создании нового поколения тензорных процессоров (TPU). Также сообщается, что AMD и Nvidia изучают возможность применения 14A-процесса Intel для своих серверных решений, хотя аналитики отмечают, что эта информация пока носит предварительный характер, так как в отчёте GF Securities используется формулировка «мы по-прежнему ожидаем вероятного взаимодействия», что указывает на отсутствие окончательных договорённостей. На текущий момент подразделение Intel по контрактному производству (foundry) почти не имеет внешних клиентов, ограничиваясь собственными потребностями компании. Известно, что будущие процессоры Intel Panther Lake частично будут выпускаться по 18A-процессу, а более масштабные чипы Nova Lake, вероятно, будут использовать его ещё активнее. Однако техпроцесс 14A находится на ранней стадии и не войдёт в коммерческую эксплуатацию в ближайшие годы, поэтому даже в случае заключения всех потенциальных сделок значительного влияния на финансовые показатели Intel в краткосрочной перспективе не ожидается. Тем не менее, успешное привлечение внешних заказчиков может существенно сократить убытки подразделения foundry, которое до сих пор не приносило прибыли. Аналитики GF Securities также сообщили в своём аккаунте X, что по состоянию на ноябрь 2025 года выход годных кристаллов для процессоров Panther Lake составил 60–65 %, а к концу 2025 года компания рассчитывает достичь уровня 70 %. Samsung заполучила большой заказ на производство 8-нм чипов для Intel
20.12.2025 [01:31],
Николай Хижняк
Samsung Foundry, производственное подразделение Samsung Electronics, заключило крупный контракт с Intel. Предприятие, по всей видимости, получило заказы на производство чипсетов материнских плат Intel Platform Controller Hub (PCH) на базе 8-нм техпроцесса. Об этом сообщает Tom’s Hardware со ссылкой на южнокорейское издание Hankyung.
Источник изображения: Samsung В сообщении говорится, что Samsung и Intel находятся на завершающей стадии запуска массового производства чипсетов Intel. С уверенностью можно говорить, что речь о будущей системной логике 900-й серии для материнских плат с процессорным разъёмом LGA 1954, который предназначен для процессоров Core Ultra 400S (кодовое название Nova Lake). Между Samsung и Intel существует давняя история сотрудничества — южнокорейская компания ранее уже производила для Intel чипсеты и другие недорогие чипы. В настоящее время Samsung выпускает некоторые чипсеты Intel по 14-нм техпроцессу на своём предприятии в Остине, штат Техас. Тем временем 8-нм техпроцесс Samsung задействован на заводе компании в Хвасоне, в южнокорейской провинции Кёнгидо. Таким образом, производство будущих чипсетов Intel может вернуться в Южную Корею. Отмечается, что данный стратегический шаг со стороны Intel выглядит логичным, учитывая намерение компании диверсифицировать производство, отказавшись от услуг тайваньской TSMC, которая в настоящее время испытывает постоянный дефицит мощностей. Для производства чипсетов не требуются передовые технологические процессы, поэтому 8-нм узел вполне подходит для этой задачи. И всё же интересно посмотреть, какие преимущества Intel сможет получить от 8-нм техпроцесса Samsung — будь то улучшенные характеристики, более низкое энергопотребление или лучший теплоотвод. Одно можно сказать наверняка: переход с 14-нм на 8-нм техпроцесс может дать Intel повод для гордости перед AMD, поскольку текущие чипсеты 800-й серии последней всё ещё производятся по 14-нм техпроцессу. С другой стороны, AMD также может перейти на использование более передового техпроцесса в рамках своих будущих платформ. С момента внедрения в 2017 году и начала массового производства в 2018 году 8-нм технологический процесс Samsung достиг удовлетворительного уровня выхода годных изделий, что позволило привлечь значительное количество клиентов. Ранее, например, компания заключила контракт с Nvidia на производство специализированных систем на кристалле (SoC) для консоли Nintendo Switch 2, которая демонстрирует высокие продажи. Заключение сделки с Intel также является значительным достижением для Samsung. Несмотря на снижение доли Intel на рынке процессоров в пользу AMD, «синяя команда» остаётся доминирующим игроком отрасли, занимая примерно 75 % рынка. Производственная мощность Samsung составляет приблизительно 350 тыс. кремниевых пластин в месяц. В частности, с использованием 8-нм техпроцесса производится от 30 до 40 тыс. 300-мм (12-дюймовых) пластин в месяц. Эта цифра составляет примерно 11 % от общего объёма производственных мощностей Samsung. По мере увеличения числа клиентов, привлекаемых Samsung Foundry, растёт и спрос на фотошаблоны чипов, что создаёт взаимовыгодную ситуацию для всех участников цепочки поставок. Если информация подтвердится, Samsung начнёт полномасштабное производство 8-нм чипсетов Intel в следующем году. Intel уже подтвердила, что процессоры Nova Lake будут выпущены либо до конца 2026 года, либо вскоре после этого. Учитывая обычную практику Intel сначала выпускать чипсеты старшей серии Z, премиальный чипсет Z990, вероятно, станет первым продуктом партнёрства между Samsung и Intel в области 8-нм техпроцесса. Intel показала путь к посткремниевым чипам: 2D-транзисторы, совместимые с массовым производством
17.12.2025 [19:11],
Сергей Сурабекянц
2D-транзисторы на основе 2D-материалов демонстрируются в академических и лабораторных условиях более десяти лет, но ни одна из этих демонстраций не была совместима с крупносерийным производством. Они основывались на специализированных исследовательских инструментах и хрупких технологических этапах. Но на этой неделе Intel Foundry и Imec продемонстрировали готовую к 300-миллиметровому производству технологию производства 2D-полевых транзисторов (2DFET). ![]() Современные передовые техпроцессы — такие как Intel 18A, Samsung SF3E, TSMC N2 — основаны на транзисторах с затвором, окружающим затвор со всех сторон (Gate-All-Around, GAA). В настоящее время все ведущие производители микросхем разрабатывают комплементарные полевые транзисторы (Complementary Field-Effect Transistor, CFET) с возможностью их вертикального размещения с целью повышения плотности за пределы возможностей GAA. CFET считаются следующим шагом после транзисторов с затвором, охватывающим всю поверхность кристалла, и ожидается, что они появятся в течение следующего десятилетия. Однако Intel и другие производители микросхем утверждают, что дальнейшее масштабирование в конечном итоге приведёт к пределу физических возможностей кремниевых каналов, где электростатический контроль и подвижность носителей ухудшаются из-за чрезвычайно малых размеров. Для решения этой проблемы отрасль все чаще оценивает двумерные материалы, которые могут формировать каналы толщиной всего в несколько атомов, сохраняя при этом надёжный контроль тока. Intel и Imec представили на IDM доклад, в котором подробно описывается их работа над семейством дихалькогенидов переходных металлов (TMD) — перспективных материалов для производства чипов, представляющих собой атомарно тонкие кристаллы. В продемонстрированных структурах сульфид вольфрама (WS2) и сульфид молибдена (MoS2) использовались для создания транзисторов n-типа, а селенид вольфрама (WSe2) служил материалом для каналов p-типа. Эти соединения изучаются уже много лет, но подогнать их под существующие технологические процессы производства чипов на 300-мм пластинах не получалось. Основная сложность заключалась в том, что хрупкие каналы легко повредить. А также разработчикам мешало то, что предлагаемые прежде решения невозможно надежно воплотить в условиях современного массового производства. Основной инновацией, представленной Intel и Imec, является схема интеграции контактов и затворных стеков, совместимая с производством. Intel вырастила высококачественные 2D-кристаллы и покрыла их многослойным стеком из оксидов алюминия (Al2O3), гафния (HfO2) и кремния (SiO2). Затем с помощью тщательно контролируемого селективного травления, концептуально схожего с одним из этапов традиционного изготовления чипов, получилось сформировать верхние контакты. Таким образом удалось обеспечить целостность лежащих в основе 2D-каналов, которые очень чувствительны к загрязнению и физическим повреждениям. Ключевым нововведением, представленным Intel и imec, является совместимая с производством на 300-мм пластинах схема интеграции контактов и затворной структуры. Этот подход решает одну из самых сложных задач в разработке 2D-транзисторов: формирование масштабируемых контактов с низким сопротивлением с использованием процессов, совместимых с производственным оборудованием. Наряду с контактами, Intel и imec также продемонстрировали возможность изготовления модулей затворной структуры.
Источник изображения: Imec Важность этой совместной работы Intel и imec заключается не в немедленном внедрении в производство, поскольку 2D-транзисторы на основе 2D-материалов относятся к долгосрочной перспективе, возможно, ко второй половине 2030-х или даже к 2040-м годам. Ценность исследования скорее в снижении рисков при разработке и последующем производстве микросхем, которые будут использовать 2D-материалы. Проверяя технологию в условиях реального производства, Intel Foundry позволяет клиентам и внутренним группам разработчиков оценивать её возможности, используя реалистичные, масштабируемые технологические предположения, а не идеализированные лабораторные условия. Этот подход призван ускорить тестирование устройств, компактное моделирование и ранние исследования в области проектирования. Для Intel Foundry это исследование имеет особую важность. Во-первых, Intel Foundry продолжает проводить долгосрочные исследования технологий, которые понадобятся через годы, если не десятилетия, а это значит, что у компании будут решения для полупроводниковой промышленности в 2030-х или 2040-х годах, и, следовательно, она останется надёжным партнёром. Во‑вторых, Intel подчёркивает, что даже на этапе исследований новые концепции транзисторов должны разрабатываться с учётом технологичности производства, что под силу немногим компаниям. Intel успешно испытала передовой сканер ASML, который позволит серийно выпускать ангстремные чипы
16.12.2025 [14:09],
Алексей Разин
Компания Intel стала одним из первых получателей литографических сканеров ASML класса High-NA EUV, позволяющих наладить выпуск чипов по нормам тоньше 2 нм, но если ранее это были системы первого поколения, пригодные главным образом для экспериментов, то недавно завершились приёмочные испытания Twinscan EXE:5200B — сканера, который будет использоваться в серийном производстве чипов.
Источник изображения: Intel Новой системе свойственно высокое разрешение, проверенное ещё на предшественнике (Twinscan EXE:5000), но производительность обработки кремниевых пластин повышена до 175 штук в час, а точность наложения слоёв при экспозиции увеличена до 0,7 нанометра. Оборудование ASML для сверхжёсткой ультрафиолетовой литографии с высокой числовой апертурой (High-NA EUV) тестировалось компанией Intel с 2023 года, но модель Twinscan EXE:5200B обеспечивает ряд преимуществ по сравнению с ранними образцами. Более мощный источник лазерного излучения обеспечивает создание более контрастных проекций с более чёткими очертаниями будущих транзисторов. Новая конструкция держателя для кремниевых пластин учитывает особенности техпроцесса их обработки, повышая пропускную способность в условиях массового производства. Возросшая точность наложения была достигнута за счёт лучшей калибровки датчиков, стабильности основания и изоляции от окружающих воздействий. Оборудование нового поколения позволяет сократить количество операций при изготовлении передовых чипов, уменьшить затраты на оснастку и поднять производительность линии. Само собой, уровень брака должен выходить на приемлемый уровень быстрее, чем в случае с оборудованием предыдущего поколения. Попутно представители Intel сообщили о прогрессе в сфере внедрения новых материалов при производстве чипов с мельчайшими транзисторами. Дихалькогениды переходных металлов, по их словам, позволяют создавать структуры размером с несколько атомов кремния без угрозы потери необходимых физических свойств. В сфере совершенствования двумерных материалов Intel активно сотрудничает с Imec — ведущей европейской исследовательской организацией. Партнёры добиваются определённого прогресса во внедрении новых материалов, применение которых возможно и целесообразно в условиях массового производства с типоразмером кремниевых пластин 300 мм. В свою очередь, ASML планирует наладить массовые поставки оборудования класса High-NA EUV с 2027 года, но для этого уже в следующем году компании придётся плотно взаимодействовать в этой сфере со своими клиентами. В следующем десятилетии ASML предложит технологию Hyper-NA, которая обещает ещё более эффективное масштабирование транзисторов на поверхности чипа и сохранение приемлемых темпов роста производительности полупроводниковых компонентов. Intel назначила старшим вице-президентом по связям с органами власти советницу Дональда Трампа по экономике
16.12.2025 [06:59],
Алексей Разин
Уходящий год в истории Intel характеризуется необычным событием — 10 % ей акций перешли под контроль американского государства. Только недавно формальные функции по взаимодействию с органами власти были закреплены за Робин Колвелл (Robin Colwell), которая вступила в должность старшего вице-президента Intel, а до этого имела опыт работы в статусе советника президента Дональда Трампа (Donald Trump) по экономическим вопросам.
Источник изображения: Intel Известно, что с экономической точки зрения бизнес Intel сейчас находится не в лучшем состоянии, поэтому данное назначение призвано наладить проведение реформ с учётом интересов государства. Робин Колвелл также является заместителем директора Национального экономического совета, поэтому станет важным проводником решений администрации президента США в контексте политики на полупроводниковом рынке. Непосредственно пост старшего вице-президента Intel по связям с органами власти пустовал после ухода в ноябре прошлого года Брюса Эндрюса (Bruce Andrews), имевшего опыт работы в Министерстве торговли США при президенте Обаме. Поскольку этого требует необходимость постоянного взаимодействия с правительством США, Робин Колвелл будет работать в Вашингтоне. Ещё одну вакансию в верхнем эшелоне управления Intel заняла Джеймсом Чу (James Chew), который стал вице-президентом по правительственным технологиям. До этого он трудился в Cadence, которую ранее возглавлял нынешний генеральный директор Intel Лип-Бу Тан (Lip-Bu Tan). Вместе с Колвелл Джеймс Чу будет формировать взаимовыгодные отношения Intel с американскими правительственными структурами и заказчиками. Руководить маркетингом и связями с общественностью в Intel отныне будет Энни Ши Векессер (Annie Shea Weckesser), которая имеет большой опыт работы в Cisco Systems, а непосредственно до перехода в Intel успела потрудиться в стартапе SambaNova Systems на должности руководителя маркетинговой службы. Процессорный гигант намерен поглотить этот стартап для развития своих компетенций в части проектирования ускорителей для систем искусственного интеллекта, как стало известно недавно. Поскольку после ухода Сачина Катти (Sachin Katti) в OpenAI пустовал пост технического директора Intel, его занял Пушкар Ранаде (Pushkar Ranade), ранее руководивший кадровой структурой компании. Впрочем, это назначение будет временным, пока не будет утверждена новая кандидатура на эту должность. Не исключено, конечно, что Пушкар Ранаде сохранит за собой пост технического директора Intel в будущем. Как признался глава компании, у Ранаде есть богатый опыт внедрения нескольких техпроцессов в массовое производство, и он пригодится ему на новом этапе карьеры. Новая статья: Процессоры за 30 тысяч рублей — большой сравнительный тест
15.12.2025 [00:44],
3DNews Team
Данные берутся из публикации Процессоры за 30 тысяч рублей — большой сравнительный тест Intel готова выложить за стартап SambaNova около $1,6 млрд уже в январе
13.12.2025 [07:54],
Алексей Разин
Переговоры о покупке ИИ-стартапа SambaNova корпорацией Intel попали в фокус интереса общественности в том числе и по причине нахождения во главе обеих компаний Лип-Бу Тана (Lip-Bu Tan). На первом этапе возможные условия сделки не обсуждались публично, но теперь источники утверждают, что Intel уже в следующем месяце может выложить за активы SambaNova около $1,6 млрд.
Источник изображения: SambaNova Systems Об этом сообщает Bloomberg со ссылкой на собственные осведомлённые источники. Хотя переговоры продвинулись, условия сделки всё ещё могут измениться, по их словам. При этом SambaNova привлекла интерес со стороны альтернативных инвесторов, поэтому её активы в итоге могут достаться не Intel, либо финансирование будет осуществляться без поглощения компании. Основанная в 2017 году SambaNova Systems уже через год привлекла средства фонда Walden International, принадлежащего нынешнему генеральному директору Intel Лип-Бу Тану, в размере $56 млн. В дальнейшем это позволило ему занять пост председателя правления молодой компании. Как считается, именно он и подтолкнул SambaNova к переговорам с Intel, поскольку последняя заинтересована в получении доступа к разработкам, позволяющим продвинуться в совершенствовании своих аппаратных предложений для сегмента искусственного интеллекта. На этапе финансирования в 2021 году капитализация SambaNova оценивалась в $5 млрд, поэтому упоминаемая сумма в $1,6 млрд представляет серьёзный дисконт. Впрочем, сейчас процессорный гигант находится не в лучшем финансовом положении, и любая возможность сэкономить пойдёт ему на пользу. Собственная капитализация Intel сейчас не превышает $180 млрд. |