Сегодня 20 августа 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Offсянка

Жаркий 2025-й: грядущая битва при «двух нанометрах»

⇣ Содержание

Технологический прогресс в полупроводниковой индустрии, как мы не раз уже отмечали, — удовольствие дорогое. По оценке аналитиков UBS Group AG, тайваньская TSMC до конца текущего года доведёт объём своих капитальных затрат до 32 млрд долл. США, а в 2025-м ещё более их нарастит — преодолев отметку в 37 млрд долл. По большей части эти суммы пойдут на развёртывание и ввод в строй новых производственных линий, рассчитанных на выпуск микросхем по технологическим нормам «2 нм» (а в недальней перспективе — и «14 Å (ангстрем)», т. е. «1,4 нм»), ибо уже теперь, к середине 2024-го, становится ясно, что интерес потенциальных заказчиков компании именно к этим производственным процессам оказался выше прошлогодних и более ранних прогнозов.

Не отстают от TSMC и главные её конкуренты на поле выпуска СБИС по самым передовым технологическим нормам — южнокорейская Samsung Electronics и американская Intel, которые также в 2025-м намерены освоить серийное производство «2-нм» чипов. А с учётом того, что огромный рынок сбыта материкового Китая (плюс ряда других стран, отнесённых Минторгом США к недружественным) для такой продукции наверняка будет закрыт — в том смысле, что компаниям из КНР не позволят размещать заказы на входящих в строй «2-нм» линиях, не говоря уже о приобретении соответствующего чипмейкерского оборудования, — противостояние лидеров мировой полупроводниковой индустрии обещает оказаться по-настоящему жарким.

 Именно в таких залитых янтарно-жёлтым светом (чтобы не допускать даже случайного повреждения УФ-чувствительного фоторезиста) цехах будут в скором времени выпускать «2-нм» чипы — на радость всем любителям генеративного ИИ (источник: TSMC)

Именно в таких залитых янтарно-жёлтым светом (чтобы не допускать даже случайного повреждения УФ-чувствительного фоторезиста) цехах будут в скором времени выпускать «2-нм» чипы — на радость всем любителям генеративного ИИ (источник: TSMC)

Их клиентам же, как и конечным потребителям построенной на этих микросхемах ИТ-продукции, напротив, есть чему радоваться: вполне вероятно, что даже при довольно высокой её себестоимости поставщикам придётся, состязаясь между собой, удерживать розничные цены на привлекательном для широкого рынка уровне. А значит, 2025-й имеет все шансы стать очередным годом полупроводникового рывка — сделав, к примеру, пресловутые модели генеративного ИИ ещё более доступными для локального (а не посредством облачных сервисов) исполнения. А заодно и побудив игроделов к созданию ещё более увлекательных и завораживающих игр с повышенными системными требованиями. Если, конечно, всё и у всех пойдёт по намеченным планам.

#Денежки счёт любят

Формально препятствий к реализации этих планов вроде бы и нет: ASML исправно собирает всё новые литографические машины High-NA EUV, готовые в перспективе обеспечить создание микросхем как раз «ангстремного» класса; правительство США продолжает выделять обещанные многомиллиардные субсидии как на развитие собственных чипмейкерских предприятий (Intel и Micron в первую очередь), так и на постройку на территории США новейших фабрик TSMC, Samsung Electronics и иных зарубежных компаний. И если бы мировая экономика продолжала развиваться по прежнему пути — с околонулевыми ставками рефинансирования ведущих центробанков, с американскими казначейскими бумагами в качестве бесспорно самого надёжного актива, что обеспечивало бесперебойный приток средств в экономику страны и оттуда уже их целевое перераспределение на наиболее актуальные нужды, — наверняка уже в текущем году начали бы выдавать первую продукцию те самые, к примеру, аризонские фабрики TSMC и Intel, возведение которых было ещё два с лишним года назад простимулировано принятым Конгрессом США CHIPS Act.

 Устройство для прецизионной выборочной установки предварительно изготовленных чиплетов на общую подложку для формирования составной микросхемы по технологии Foveros (источник: Intel)

Устройство для прецизионной выборочной установки предварительно изготовленных чиплетов на общую подложку для формирования составной микросхемы по технологии Foveros (источник: Intel)

Однако пока ведущие чипмейкеры планеты сталкиваются с дилеммой: им необходимо наращивать инвестиции в новые технологии — но одновременно урезать издержки и погашать накопленные прежде долги. В начале августа международное агентство Moody’s снизило кредитный рейтинг Intel до уровня BAA1 (с прежнего A3), обосновав этот шаг ожиданиями ухудшения показателей прибыльности компании на горизонте ближайшего года-полутора. Речь именно о прибыли (грубо говоря, это чистая выручка минус налоги и минус операционные затраты), которая не достанется акционерам, — поскольку как раз в 2025-2026 годах Intel предстоит немало вложить в освоение прогрессивных производственных норм и в постройку новых фабрик. Ситуацию усугубляет сокращение спроса на продукцию компании — в основном это центральные процессоры — почти во всех рыночных сегментах: скажем, невзирая на собственные проблемы (взять хотя бы недавнее обнаружение уязвимости Sinkclose), AMD, по данным Mercury Research, методично, хотя и неторопливо улучшает свои позиции по направлениям серверных и потребительских процессоров.

Конечно, разочаровавшие акционеров квартальные результаты — вовсе не приговор: аналитики того же Moody’s ожидают, что производственное подразделение Intel Foundry сумеет выйти на операционную безубыточность к 2027-му, — если, конечно, компания в целом наладит массовый выпуск чипов с низкой себестоимостью и одновременно по самым передовым техпроцессам: как по своим собственным дизайн-проектам, так и в качестве ODM-поставщика для сторонних заказчиков. Но это как раз совсем не просто, если учесть оценочную типичную стоимость одного уже упомянутого фотолитографа High-NA EUV в 350 млн долл. США, — только самой этой машины; без дополнительных затрат на её установку, наладку и подготовку к серийному производству. Как мы не раз уже отмечали в серии статей, посвящённых чипмейкерским технологиям, каждая очередная ступень прогресса в этой отрасли обходится кратно дороже предыдущей. Но пока рынок сбыта для микросхем, выполненных по самым передовым нормам, неуклонно расширялся, это удорожание вполне оправдывало себя в экономическом плане — гарантируя производителям новых чипов (и их акционерам, что немаловажно в условиях рыночной экономики) перекрытие издержек за счёт растущей выручки.

 Слева: основные получатели финансирования в виде грантов (grants) и ссуд (loans) в рамках американского CHIPS Act по состоянию на июль 2024 г. с указанием городов и штатов, где намечено возведение их фабрик. Справа: капитальные расходы ведущих полупроводниковых компаний мира в 2022-2023 гг. плюс оценка на 2025-й, если она доступна, в млрд долл. США, а также их динамика год к году в % (источник: Semiconductor Intelligence)

Слева: основные получатели финансирования в виде грантов (grants) и ссуд (loans) в рамках американского CHIPS Act по состоянию на июль 2024 г. с указанием городов и штатов, где намечено возведение их фабрик. Справа: капитальные расходы ведущих полупроводниковых компаний мира в 2022-2023 гг. плюс оценка на 2025-й, если она доступна, в млрд долл. США, а также их динамика год к году в % (источник: Semiconductor Intelligence)

Пока же, как сообщил глава компании Пэт Гелсингер (Pat Gelsinger), хотя выручка подразделения Intel Foundry за отчётный квартал превысила 4,3 млрд долл. США, его операционный убыток достиг 2,8 млрд долл. — и как минимум в следующем квартале, а то и дольше потери эти будут лишь продолжать накапливаться. Обусловлены же они как раз наращиванием оборотов производственных линий по технологическим нормам «Intel 4» и «Intel 3», приготовлением к началу серийного выпуска чипов по нормативу «Intel 20А», а также продолжением работ над следующим техпроцессом — «Intel 18А», для которого уже в июле был изготовлен Process Design Kit (партия предсерийных образцов, обычно направляемых для испытаний во внутренние подразделения компании и/или заинтересованным в ODM-производстве партнёрам). Как отмечают представители самого чипмейкера, немалую лепту в операционный убыток внесли более зрелые производственные линии (pre-EUV nodes), поддержание которых в рабочем состоянии сегодня требует немалых издержек, тогда как выручка от изготовленных на них микросхем неуклонно снижается — поскольку те всё сильнее дешевеют по мере освоения новых техпроцессов.

Аналитики предупреждают, что намерение Intel снизить издержки за счёт сокращения штата на 15% (около 15 тыс. человек по всему миру) и урезать капитальные инвестиции с тем, чтобы высвободить около 10 млрд долл., может оказаться фатальным для поставленной мистером Гелсингером сразу после его вступления в должность CEO цели — достичь технологического лидерства в микропроцессорной отрасли, потеснив на этом пьедестале тайваньскую TSMC. Американская компания уже пообещала акционерам в текущем году сократить валовые капитальные затраты более чем на 20% от прежнего оценочного значения, сведя их тем самым к границам 25-27 млрд долл., а в 2025-м — ещё дополнительно урезать их до 20-23 млрд долл. При этом сам тайваньский конкурент Intel — судя по всему, не настолько стреноженный ожиданиями акционеров в плане перераспределения прибыли на выплату дивидендов (вместо долгосрочных вложений в производство) — объявил недавно, как мы упоминали уже в самом начале, об увеличении потолка капитальных затрат в текущем году с прежних 30 до 32 млрд долл. По оценке аналитиков Semiconductor Intelligence, TSMC и в 2025-м продолжит наращивать инвестиции в средства производства — не менее чем на 10% от объёмов 2024 г.

 Автомат для монтажа защитных крышек на корпуса составных микросхем, предназначенных для центров обработки данных, на заводе Intel в Чандлере, штат Аризона (источник: Intel)

Автомат для монтажа защитных крышек на корпуса составных микросхем, предназначенных для центров обработки данных, на заводе Intel в Чандлере, штат Аризона (источник: Intel)

И это, кстати, не безрассудная прихоть (ведь тяжесть непростых макроэкономических реалий ложится не на одну Intel, — TSMC оперирует примерно на том же рынке и в сравнимых условиях), а повторение уже однажды оправдавшей себя стратегии. В период финансового кризиса 2008-2009 г. основатель тайваньского чипмейкера Морис Чан (Morris Chan), вернувшись на пост CEO — в возрасте 77 лет, кстати, — против всех очевидных соображений нарастил объёмы капитальных инвестиций, урезав взамен выплаты по дивидендам. Именно это, по мнению множества аналитиков, как раз и способствовало закреплению TSMC на первенствующей позиции в мировой полупроводниковой индустрии. Но заслуженные лавры лидерства ей ещё предстоит отстоять: будущий год обещает стать временем усиленного противостояния между изготовителями микросхем. В Semiconductor Intelligence подчёркивают, что, хотя суммарные капиталовложения в эту отрасль по итогам 2024-го снизятся во всём мире от уровня 2023 г. на 2%, до 166 млрд долл., в 2025-м они подрастут сразу на 11% год к году — до 185 млрд долл., что превзойдёт достигнутый в 2022 г. абсолютный пик в 182 млрд долл.

#Алло, мы ищем таланты

Ещё один важный момент, на который обращают внимание, в частности, эксперты тайваньского издания DigiTimes: амбициозный план Гелсингера завершить пятилетку в четыре года — освоить пять последовательно всё более миниатюрных техпроцессов в течение всего лишь четырёх лет — встречается с таким несколько неожиданным препятствием, как отсутствие необходимого числа специалистов потребной квалификации. В первую очередь — высокоуровневых профессионалов в областях НИОКР полупроводниковых микросхем и технологий их производства, разумеется; но далеко не только их одних. К примеру, возвести фундамент, стены и потолок новой фабрики недостаточно для начала массового выпуска на ней современных СБИС. Громоздкому и дорогущему фотолитографу High-NA EUV необходима «чистая комната» с крайне жёсткими допусками по содержанию пылевых частиц в атмосфере, причём изрядного объёма. А инженеров, прорабов и даже рабочих, умеющих и готовых такого рода помещения с гарантированным соответствием стандарту создавать, в мире по понятным причинам не так уж и много, и львиная их доля уже задействована на аналогичных стройках в Юго-Восточной Азии — где за них и так уже ведётся нешуточная борьба. Скажем, Samsung Electronics, по сообщению Korea Economic Daily, занялась коренной переработкой своей системы поощрения сотрудников — как раз с тем, чтобы остановить отток наиболее ценных инженерных талантов.

По идее, подразделение Intel Foundry Services (IFS) в соответствии с предложенной Гелсингером стратегией IDM 2.0 как раз и должно было бы сделаться внутренней кузницей кадров для материнской компании — за счёт исполнения сторонних заказов, накопления соответствующего опыта и применения его затем для выпуска продукции уже самой Intel. Скажем, сегодня чипы семейства Core Ultra архитектуры Lunar Lake целиком и полностью (а не отдельными модулями-тайлами, как это уже практиковалось ранее для Meteor Lake) выпускаются не на американских предприятиях, а вовне — по «3-нм» техпроцессу (точнее, по производственным нормам N3B и N6) на фабриках всё той же TSMC; просто за неимением подходящих производственных линий в распоряжении самой Intel. Американский чипмейкер получает таким образом если не прямые убытки, то значительное снижение нормы прибыли, и потому совершенно естественным было его намерение изготавливать процессоры следующего поколения, Nova Lake, — уже в 2026-м — на собственных (пока ещё не построенных) предприятиях, а заодно и по существенно более передовой технологии «Intel 14A». Однако в начале августа от источников, близких к тайваньским полупроводниковым кругам, начала поступать информация о смене приоритетов Intel: основным изготовителем чипов Nova Lake продолжит оставаться TSMC, тогда как американский чипмейкер «продолжит изучать развитие собственных разработок в области „14-Å“ производственных норм», выбирая подходящий момент для переключения с заказов новых процессоров у ODM-партнёра к выпуску их уже на внутренних линиях.

 Технология Chip-on-Wafer-on-Substrate (CoWoS), предложенная TSMC, позволяет сократить дистанции между отдельными чиплетами внутри составной микросхемы до 0,03 мм — по сравнению с минимальными примерно 20 мм, которые требуются, например, при раздельном монтаже вычислительного ядра и HBM-памяти (источник: TSMC)

Технология Chip-on-Wafer-on-Substrate (CoWoS), предложенная TSMC, позволяет сократить дистанции между отдельными чиплетами внутри составной микросхемы до 0,03 мм — по сравнению с минимальными примерно 20 мм, которые требуются, например, при раздельном монтаже вычислительного ядра и HBM-памяти (источник: TSMC)

Справедливости ради стоит отметить, что у IFS уже есть собственные внешние заказчики — это и AWS, и Qualcomm, и Microsoft, и с недавних пор NVIDIA, которой оказалось недостаточно ёмкости упаковочных линий CoWoS на фабриках TSMC — в связи с взрывным ростом спроса на её «графические» (уже скорее «нейронные») чипы для тренировки и исполнения генеративных моделей искусственного интеллекта. Передовые технологии упаковки в единый корпус составных, в том числе вертикально интегрированных, чиплетов-микросхем CoWoS (TSMC) и Foveros (Intel) во многом схожи, что как раз и позволяет крупным заказчикам вроде NVIDIA эффективно распределять нагрузку между доступными производственными линиями. Но всё же упаковка чипов, пусть даже наиболее на сегодня изощрённая, не настолько выгодна, как их изготовление по самым передовым технологическим нормам, — и потому IFS продолжит оставаться малоприбыльным предприятием до тех пор, пока не освоит уверенный выпуск хотя бы «3-нм» (напомним, что техпроцесс «Intel 3» в терминологии TSMC ближе к «4-нм», чем к «3-нм») СБИС.

Тем временем TSMC, объективно находясь в лучшем по сравнению с Intel положении именно как изготовитель микросхем (сама она их, напомним на всякий случай, не разрабатывает, выступая именно как ODM-партнёр по их производству для внешних заказчиков), продолжает уверенно стричь купоны на фоне неторопливого восстановления мирового рынка полупроводников, обусловленного прежде всего ростом интереса заказчиков к ИИ-ориентированным вычислительным системам. За один только июль текущего года тайваньский чипмейкер заработал более 7,9 млрд долл. США — на 23,6% больше, чем в предшествующем июне, и на 44,7% больше, чем в июле 2023-го, обновив тем самым свой абсолютный рекорд месячной выручки и превзойдя самые смелые ожидания аналитиков относительно финансовых итогов второго квартала. Дальнейшее увеличение доходов ожидается и по итогам III кв. — с июля по сентябрь TSMC планирует выручить от 22,4 до 23,2 млрд долл., что соответствует квартальному приросту в диапазоне 7,6-11,4%. При этом валовую её прибыль эксперты оценивают во внушительные 53,5-55,5% от выручки, а операционную (валовая минус операционные затраты) — в 42,5-44,5%. Неудивительно, что TSMC активно строит новые фабрики: в дополнение к уже возводимым японским и американским отделениям, на конец августа намечена закладка первого камня в основание дочернего производства European Semiconductor Manufacturing Company (ESMC) в германском Дрездене.

 Сквозные отверстия, проделанные в полупроводниковом кристалле за один проход на EUV-фотолитографе с числовой апертурой 0,55, располагаются крайне плотно (минимальная дистанция между их центрами — 30 нм) и в точном соответствии с намеченным шаблоном (источник: ASML, Imec)

Сквозные отверстия, проделанные в полупроводниковом кристалле за один проход на EUV-фотолитографе с числовой апертурой 0,55, располагаются крайне плотно (минимальная дистанция между их центрами — 30 нм) и в точном соответствии с намеченным шаблоном (источник: ASML, Imec)

Так что пока Intel продаёт свою долю в разработчике процессорных архитектур Arm в стремлении минимизировать убытки, её коллеги-конкуренты по освоению наиболее передовых техпроцессов деятельно наращивают обороты. И это не только тайваньские и южнокорейские компании, полагающиеся в основном на те же серийные EUV-фотолитографы производства ASML, что и сама Intel, которые в наиболее свежей версии High-NA (с числовой апертурой 0,55) позволяют сегодня за один проход изготавливать полупроводниковые кристаллы со сквозными отверстиями на дистанциях 30 нм между их центрами, а также выполнять топологические структуры (элементы транзисторов и их межсоединения) характерными размерами не более 9,5 нм с шагом между ними 19 нм. В последние несколько месяцев к этой гонке активно подключились и японцы: помимо создания Canon наноимпринтного литографа, в Окинавском институте науки и технологий сумели значительно упростить оптическую схему стандартной EUV-машины, обойдясь всего двумя зеркалами вместо шести. Если учесть, насколько значительны энергопотери при множественных отражениях фотонного пучка, доведённый до готовности к серийному производству двухзеркальный фотолитограф сможет обеспечить применяющим его чипмейкерам существенное снижение производственных издержек — и тем самым разительный выигрыш в себестоимости готовых микросхем.

#Дайте два!

Американский CHIPS Act, что гарантировал строящим фабрики на территории США изготовителям полупроводников суммарные (в виде грантов и ссуд) субсидии почти на 56 млрд долл., — далеко не единственная программа такого рода в мире. Упомянутые ранее исследователи из Semiconductor Intelligence указывают, что развитием микропроцессорной отрасли на своих территориях озабочены Евросоюз в целом (объём его аналогичной программы — 46 млрд долл.), отдельно Германия (21 млрд долл.), Южная Корея (55 млрд долл.; правда, только в виде налоговых льгот), Япония (25 млрд долл.), Тайвань (16 млрд долл.), Индия (10 млрд долл.) и, конечно же, КНР — 142 млрд долл.

Впрочем, с точки зрения как можно более быстрого и успешного освоения техпроцессов именно «2-нм» класса главными соперниками на глобальной полупроводниковой арене окажутся, конечно же, Intel с её производственной нормой «Intel 20А/18A» и TSMC с процессами N2/N2P. О готовности техпроцесса N2 к массовому выпуску чипов в 2025 г. уже заявлено; N2P же станет ближе ко второй половине 2026-го его эволюционным развитием, обеспечив повышенную примерно на 5% производительность готовых изделий и улучшенную на 5-10% их энергоэффективность. Уверенные сообщения представителей TSMC о выдерживании намеченных темпов освоения N2 заставляют экспертов усомниться в том, что «Intel 18A» на момент его доведения до стадии массового производства в 2026 г. сможет стать в глазах потенциальных заказчиков желанной альтернативой предлагаемым TSMC вариантам N2/N2P — хотя более «грубая» версия этой производственной нормы, «Intel 20A», и должна появиться у американской компании раньше, чем у тайваньской.

 Анатомия составного «2-нм» процессора Arrow Lake (источник: Intel)

Анатомия составного «2-нм» процессора Arrow Lake (источник: Intel)

Дополнительный импульс дальнейшему развитию TSMC обещает придать и анонсированная в июле концепция Foundry 2.0 — принятая не то чтобы в пику интеловской IDM 2.0, но явно с её учётом. Тайваньский чипмейкер стремится стать для своих клиентов не просто изготовителем СБИС на заказ, но провайдером услуг полупроводникового производства полного цикла — от подготовки литографических масок до упаковки и тестирования готовых чипов. По состоянию на I кв. 2024 г. на TSMC приходится 61,7% всей выручки от глобального контрактного производства микросхем, а с внедрением Foundry 2.0 эта компания рассчитывает охватить своими предложениями не менее 28% общемировой полупроводниковой отрасли в целом (также в денежном выражении).

Интересно, кстати, что ведущий тайваньский чипмейкер продолжает делать ставку на EUV-фотолитографы не High-NA, а предшествующего поколения, более бюджетные и во многом себя окупившие ещё на этапе массового выпуска «5-нм» микросхем, — что обеспечивает ей дополнительное преимущество в сравнении с Intel, закупающей у ASML уже вторую сверхсовременную машину такого класса. По свидетельству источников DigiTimes, TSMC намеревается массово приобретать соответствующее оборудование уже на этапе освоения техпроцесса A14P (примерно той же «1,4-нм» категории, что и «Intel 14A») лишь в 2028 г., — а до тех пор продолжит в основном обходиться не столь передовыми станками. Что с экономической точки зрения опять-таки ставит Intel в невыгодное положение — поскольку американскому чипмейкеру придётся в ближайшие годы возвращать капитальные вложения в свежее производственное оборудование, что ограничит его возможности по маневрированию ценами на открытом рынке.

 Южнокорейские микроэлектронщики с гордостью демонстрируют фотолитографированные пластины с «3-нм» СБИС на базе транзисторов GAA — и уверены, что примерно через год смогут так же показать уже «2-нм» изделия собственного производства (источник: Samsung Electronics)

Южнокорейские микроэлектронщики с гордостью демонстрируют фотолитографированные пластины с «3-нм» СБИС на базе транзисторов GAA — и уверены, что примерно через год смогут так же показать уже «2-нм» изделия собственного производства (источник: Samsung Electronics)

Свой особый путь к «2 нм» выбрала южнокорейская Samsung Electronics, которая также заявляет о готовности запустить в 2025 г. серийное производство «2-нм» микросхем. При этом следует иметь в виду, что освоенный этой компанией (формально — первой в мире) «3-нм» техпроцесс не пользуется особой популярностью у клиентов: по сути единственным крупным заказчиком таких чипов у южнокорейского производителя выступает System LSI — его же собственное подразделение, занятое, в частности, проектированием систем-на-кристалле Exynos. Причиной столь сдержанного отношения клиентов к, казалось бы, разумной альтернативе безраздельно властвующей сегодня в «3-нм» сегменте TSMC эксперты называют убийственно низкий процент выхода годных чипов, выполненных по этой производственной норме на линиях Samsung Electronics, из-за чего и себестоимость готовых (и принятых заказчиком) изделий оказывается выше, и оговорённые сроки поставки могут существенно отодвигаться.

Тем не менее деваться южнокорейскому чипмейкеру некуда: если на рынке микросхем памяти (и DRAM, и NAND) его позиции достаточно прочны, то в сегменте логических чипов он существенно отстаёт и от TSMC, и от Intel — а ведь именно там в обозримом будущем можно надеяться на высокую маржинальность полупроводниковых производств, обусловленную значительным спросом на аппаратные средства для ИИ-вычислений. Строго говоря, высокоскоростная оперативная память HBM для графических и нейроускорителей — тоже весьма прибыльный товар, и Samsung Electronics его успешно поставляет той же NVIDIA. Но поскольку производственные нормы для чипов памяти по уровню миниатюризации заметно уступают таковым для логических СБИС, конкуренция на данном направлении существенно выше — а маржинальность, соответственно, меньше.

 Этапы совершенствования микропроцессорных технологий по мере миниатюризации технологических норм производства СБИС (источник: Intel)

Этапы совершенствования микропроцессорных технологий по мере миниатюризации технологических норм производства СБИС (источник: Intel)

Так вот, южнокорейский чипмейкер — точнее, его полупроводниковое подразделение Samsung Foundry — намеревается сразу же занять в пока ещё не существующем сегменте изготовления на заказ «2-нм» микросхем выгодную позицию, разрабатывая соответствующий техпроцесс на основе транзисторов с затворами Gate All Around (GAA) и реализуя тем самым своё преимущество — полученное, правда, ценой отставания от тайваньского лидера по очкам в актуальном ныне «3-нм» зачёте. GAA-транзисторы уже применяются южнокорейской компанией в первом поколении её «3-нм» чипов — и, как мы уже обсуждали в одной из статей «полупроводникового цикла», они действительно представляются весьма перспективным выбором для ещё более миниатюрных производственных норм. Правда, их технические преимущества — меньшие теплопотери при прохождении заряда через затвор, повышенная энергоэффективность — во многом пока нивелируются чисто технологическими затруднениями по налаживанию их поточного выпуска с приемлемо высоким процентом выхода годных изделий. TSMC и Intel также намерены переходить на NanosheetGAA- и RibbonFET-транзисторы — соответственно, в ходе освоения техпроцессов N2 и «Intel 20А/18A». Однако, учитывая уже наработанный Samsung Foundry опыт работы с GAA, южнокорейский чипмейкер имеет немалые шансы получить здесь преимущество, хотя бы даже и временное.

В свою очередь, Intel подтвердила недавно намерение начать серийный выпуск процессоров архитектуры Arrow Lake до конца текущего года — хотя ранее отраслевые эксперты высказывали опасения, что на фоне вынужденного сокращения капитальных инвестиций, фиксации квартальных убытков и переноса мероприятия Innovation 2024 американский чипмейкер отложит вывод на рынок первого чипа такого класса, который будет изготовлен, как предполагается, по технологии «Intel 20A». Таким образом формально — если намеченный релиз всё же состоится в 2024-м — Intel опередит и тайваньского, и южнокорейского соперников в освоении условного «2-нм» сегмента. Хотя не стоит упускать из виду пример всё той же Samsung: пусть та и первой приступила к массовому производству «3-нм» СБИС, но сливки с заказов микросхем по этому техпроцессу — как раз по упомянутой уже причине слишком низкой доли пригодных к эксплуатации GAA-чипов на каждой фотолитографированной пластине — всё равно сняла TSMC: чуть припозднившаяся, зато сделавшая ставку на отменно отлаженные в производстве FinFET-структуры.

 Капитальные затраты TSMC по годам, млрд долл. США (источник: Bloomberg)

Капитальные затраты TSMC по годам, млрд долл. США (источник: Bloomberg)

Сама же TSMC, кстати говоря, всерьёз настроена и в «2-нм» забеге сохранить глобальное лидерство. По сообщениям DigiTimes, компания планомерно готовит к переходу на новый техпроцесс в 2025 г. и далее не менее шести своих фабрик только на территории Тайваня, да и намеченное к скорому вводу в строй аризонское предприятие тайваньского чипмейкера также будет специализироваться сперва на «2-нм», а затем и на «1,4-нм» чипах. Всего до 2025 г. ASML собирается поставить TSMC 60 EUV-фотолитографов (не High-NA пока что, напомним), преимущественно как раз для «2-нм» линий, на общую сумму 12,3 млрд долл.

Впрочем, в отличие от «3-нм» состязания, когда тайваньскому чипмейкеру достаточно легко оказалось обойти допустившего фальстарт южнокорейского, на сей раз силы и возможности обоих его ведущих соперников весьма велики (плюс к тому не станем забывать и про набирающих обороты японских изготовителей полупроводников) — невзирая на все играющие против них объективные факторы. Поэтому, если спрос на высокопроизводительные аппаратные средства для ИИ-вычислений продолжит соответствовать смелым прогнозам аналитиков, нет сомнений, что все наличные «2-нм» производственные мощности по мере вступления их в строй будут полностью загружаться заказами, в том числе от конкурирующих между собой вендоров — на радость нам, конечным потребителям.

Материалы по теме

 
 
⇣ Содержание
Если Вы заметили ошибку — выделите ее мышью и нажмите CTRL+ENTER.
Вечерний 3DNews
Каждый будний вечер мы рассылаем сводку новостей без белиберды и рекламы. Две минуты на чтение — и вы в курсе главных событий.
⇣ Комментарии
Прежде чем оставить комментарий, пожалуйста, ознакомьтесь с правилами комментирования. Оставляя комментарий, вы подтверждаете ваше согласие с данными правилами и осознаете возможную ответственность за их нарушение.
Все комментарии премодерируются.
Комментарии загружаются...

window-new
Soft
Hard
Тренды 🔥
«Морально не готов к такому»: Larian шокировала игроков тизером новой «злой» концовки Baldur’s Gate 3 3 ч.
Windows 11 позволит раздельно активировать HDR для игр и потокового видео 3 ч.
Ubisoft показала, какой контент будет продавать игрокам Star Wars Outlaws за деньги — трейлер сезонного абонемента 5 ч.
GM уволит более 1000 программистов после неудач с разработкой автомобильного ПО 5 ч.
Square Enix официально анонсировала Final Fantasy XVI для ПК — дата выхода, демоверсия и системные требования 5 ч.
«Яндекс» ищет тренеров для обучения YandexGPT переводу текста с изображений, аудио- и видеофайлов 6 ч.
Тактическая стратегия Commandos: Origins получила геймплейный трейлер и взяла курс на новые платформы 7 ч.
Графический редактор Procreate не получит генеративный ИИ, так как эта технология «на основе воровства» 8 ч.
Первая легальная криптобиржа может появиться в России уже в этом году 9 ч.
Представлен сервис «VK Доска» для совместной работы — аналог ушедшего из России сервиса Miro 9 ч.