Сегодня 19 марта 2024
18+
MWC 2018 2018 Computex IFA 2018
реклама
Теги → техпроцессы
Быстрый переход

Intel анонсировала техпроцесс Intel 14A — его запустят в 2027 году с использованием литографии High-NA EUV

Компания Intel обнародовала свежие планы по освоению передовых техпроцессов. В том числе компания анонсировала 1,4-нм техпроцесс Intel 14A, который станет первой в мире технологией производства чипов с использованием литографии в сверхжёстком ультрафиолете с высокой числовой апертурой (High-NA EUV). Помимо этого, были анонсированы дополнения к представленным ранее планам по запуску техпроцессов.

 Источник изображений: Intel

Источник изображений: Intel

Первоначальный план генерального директора Intel Пэта Гелсингера (Pat Gelsinger), представленный в 2022 году, который подразумевал освоение пяти техпроцессов за четыре года, остается в силе. Техпроцессы Intel 7 и Intel 4 уже представлены на рынке, а Intel 3 готов к крупносерийному производству. Разработка техпроцессов Intel 20A (2 нм) и 18A (1,8 нм) идёт по плану или даже опережает его. Руководство компании ожидает, что Intel вернет себе лидерство в сфере передовых полупроводников с запуском Intel 18A в 2025 году.

Intel уже предоставила партнёрам инструменты для проектирования чипов под техпроцесс 18A в версии PDK 0.9, а финальная версия инструментов PDK 1.0 появится в апреле или мае. Кроме того, Intel уже завершила проектирование серверных процессоров Xeon Clearwater Forest, то есть они фактически готовы к производству. Clearwater Forest — станет первым крупносерийным чипом, выполненным по техпроцессу Intel 18A.

Расширенный план по освоению технологических процессов Intel включает новый Intel 14A, а также несколько специализированных версий, представленных ранее техпроцессов. Компания пока не раскрывает целевые показатели производительности и плотности для 14A, заявляя, что пока не хочет ставить конкурентов в известность. Известно, что 1,4-нм чипы Intel будут оснащены системой питания следующего поколения PowerVia (вероятно, Source-on-Contact) и транзисторами RibbonFET GAA. В планах Intel значатся две разновидности 14A: стандартная 14A и последующая улучшенная версия 14A-E, где буква E означает расширение возможностей. Это часть нового подхода Intel к созданию различных модификаций существующих техпроцессов для продления их жизненного цикла, как у TSMC и Samsung.

Intel пока не называет точные даты, но известно, что техпроцесс 14A-E будет запущен в тестовое производство в 2027 году. Соответственно можно предположить, что 14A появится в 2026 году, как минимум в тестовом виде, а к 2027-му доберётся до массового производства. Как и другие передовые техпроцессы Intel, новый 14A будет разрабатываться в Орегоне, а затем массово внедряться на других предприятиях.

Отметим, что TSMC, по неофициальным данным, начнёт использовать High-NA EUV только к 2030 году, то есть заметно позже Intel. Однако это не значит, что она автоматически отстанет в технологическом плане. Технология High-NA не будет дешевой, и, согласно отраслевым сообщениям, она не так эффективна, как технология Low-NA EUV с двойным шаблонированием. В Intel уверены, что стоимость производства чипов будет соответствовать её ожиданиям, но также отмечают, что при необходимости скорректируют стратегию.

Ещё Intel расширит свои техпроцессы Intel 18A, Intel 3, Intel 7 и Intel 16 новыми версиями. Intel планирует запускать новые техпроцессы каждые два года, а затем дополнять их расширениями каждые два года. Дополнительные техпроцессы будут обозначаться суффиксами. Буква P будет указывать на новую версию технологии с улучшенной производительностью. Суффикс T укажет на техпроцессы, оснащенные поддержкой соединения TSV (Through-silicon via), которые могут использоваться в системах с упаковкой 3D Foveros. Суффикс E будет указывать на специализированные новые функции, например, настраиваемый диапазон напряжения. Intel также запустит техпроцессы PT, в которых будет и повышена производительность, и реализована поддержка TSV, а со временем, вероятно, появятся и другие комбинированные решения.

В ближайшее время Intel также запустит техпроцесс Intel 12, который станет результатом производственного сотрудничества с UMC. Ещё отмечается, что Intel Foundry будет выпускать чипы по зрелой 65-нм технологии с помощью Tower Semiconductor. Оба этих сотрудничества имеют ключевое значение для дальнейшего расширения масштабов Intel Foundry, позволяя компании извлекать больше выгоды из уже окупившего себя оборудования и производственных мощностей — они будут заняты делом, а не простаивать.

Техпроцессы Intel 20A и Intel 18A предложат транзисторы GAA и подводку питания с обратной стороны кремниевой пластины (BSPDN). Причём последняя из технологий будет реализована на два года раньше TSMC, да и по внедрению GAA компания Intel обгонит тайваньского производителя на 1,5 года. Конечно, это вовсе не значит разгром TSMC — Samsung реализовала GAA ещё раньше, но трудности с массовым производством не позволили реализовать преимущество. И тем не менее, как минимум с технологической точки зрения Intel будет впереди, а это будет хорошим подспорьем для реализации её амбиций на рынке контрактного производства чипов.

Наиболее важным для компании является техпроцесс Intel 18A. И компания уже собрала четыре крупных заказа на производство чипов по данной технологии, и один из них включает большую предоплату, что означает, что речь идет об очень значительном количестве чипов. Ещё сегодня компания Microsoft объявила, что закажет у Intel производство своих чипов по 1,8-нм техпроцессу. Добавим, что Intel преуспела в продвижении техпроцессов Intel 16 и Intel 3, а также заключила крупные сделки на услуги по упаковке чипов.

Intel уверена, что её ангстремный техпроцесс 18A будет лучше 2-нм TSMC N2 и войдёт в массовое производство намного раньше

Intel следует плану по освоению пяти передовых техпроцессов за четыре года и теперь готова представить свои ангстремные техпроцессы 20A (2-нм) и 18A (1,8-нм) раньше, чем конкуренты из TSMC и Samsung. Генеральный директор компании Пэт Гелсингер (Pat Gelsinger) считает, что Intel 18A, который планируется использовать в массовых продуктах во второй половине 2024 года, «немного опережает» технологию TSMC N2 (2-нм), которая запланирована на второе полугодие 2025 года.

Техпроцессы Intel 20А и 18А привнесли две основные инновации: полевые транзисторы с круговым затвором (GAA) и технологию подачи напряжения на полупроводниковый кристалл с его обратной стороны PowerVia. Предполагается, что Intel 20A послужит для изучения всех особенностей этих инноваций, а Intel 18A станет «технологическим трамплином», при помощи которого Intel рассчитывает восстановить своё лидерство в полупроводниковой промышленности. Intel планирует начать внедрение техпроцесса Intel 18A на своих фабриках в первом квартале 2024 года, а первые продукты, основанные на нём, станут доступны во второй половине 2024 года.

 Источник изображений: Intel

Источник изображений: Intel

TSMC планирует запуск массового производства по своему 2-нм техпроцессу N2 лишь во второй половине 2025 года. Кроме того, хотя N2 от TSMC будет использовать транзисторы GAA, схема подачи питания на кристалл, в отличие от Intel, останется традиционной. Это не мешает TSMC утверждать, что её улучшенная технология N3P, которая должна появиться в 2024 году, сможет обеспечивать сопоставимые с Intel 18A характеристики мощности, производительности и плотности транзисторов, а N2 превзойдёт как N3P, так и Intel 18A.

Гелсингер c этим не согласен, он уверен, что Intel 18A будет значительно превосходить TSMC N2 в производительности и энергоэффективности. Он также предположил, что внедрение N2 может в конечном итоге обойтись TSMC значительно дороже, что даст техпроцессам Intel ощутимое конкурентное преимущество.

Развитие под санкциями: китайская SMIC разрабатывает технологии выпуска 3-нм чипов без EUV

Несмотря на отсутствие доступа к оборудованию для выпуска чипов с литографией в экстремальном ультрафиолете (EUV) из-за санкций, китайская компания SMIC продолжает разработку 5-нм и 3-нм техпроцессов производства чипов. Ранее SMIC удалось наладить серийное производство 7-нм микросхем, опираясь исключительно на литографию в глубоком ультрафиолете (DUV), что само по себе не является невозможным — техпроцесс TSMC N7P также не использует EUV.

 Источник изображения: SMIC

Источник изображения: SMIC

В отчёте Nikkei утверждается, что сразу после запуска 7-нм техпроцесса 2-го поколения, SMIC создала исследовательскую группу для работы над 5-нм и 3-нм техпроцессами. Команду возглавляет ранее работавший в TSMC и Samsung содиректор SMIC Лян Монг-Сонг (Liang Mong-Song). «Нет более умного учёного или инженера, чем этот парень, — так охарактеризовал его Дик Терстон (Dick Thurston), бывший главный юрисконсульт TSMC. — Он действительно один из самых блестящих умов, которых я видел в области полупроводников».

SMIC прошла долгий путь от небольшой полупроводниковой фабрики до пятого по величине контрактного производителя микросхем в мире. На фоне растущей напряжённости между США и Китаем компания была включена в санкционный список Министерства торговли США и потеряла доступ к передовым инструментам для обработки кремниевых пластин, что серьёзно замедлило её развитие и внедрение новых технологических процессов.

На данный момент литографические машины ASML Twinscan NXT:2000i являются лучшими инструментами, которыми располагает SMIC — они могут производить травление с разрешением до 38 нм. Этот уровень точности обеспечивает экспонирование с шагом 38 нм с использованием двойной фотомаски, чего достаточно для производства чипов класса 7 нм. Согласно исследованиям ASML и IMEC, при 5 нм шаг металла уменьшается до 30-32 нм, а при 3 нм — до 21-24 нм, что уже требует применения EUV.

 Источник изображения: ASML

Источник изображения: ASML

Но использование инструментов литографии со сверхвысоким разрешением (13 нм для EUV с низкой числовой апертурой) — не единственный путь к достижению сверхмалых размеров транзисторов. Другой вариант предусматривает нанесение нескольких последовательных масок, но это сложный процесс, который увеличивает продолжительность производственного цикла, снижает процент выхода годных изделий, увеличивает износ оборудования и повышает затраты. Однако без доступа к EUV-литографии у SMIC просто нет другого выбора, кроме как использовать тройное, четверное или даже пятикратное паттернирование.

Терстон считает, что под руководством Лян Монг-Сонга SMIC сможет производить (если уже не производит) 5-нм чипы в больших количествах без использования инструментов EUV. Однако сегодняшний отчёт Nikkei впервые сообщает о возможной способности SMIC разработать в обозримом будущем 3-нм производственный процесс на оборудовании класса DUV.

Intel впервые показала многоуровневую компоновку транзисторов, которая снова спасёт закон Мура

На проходящей в эти дни конференции IEDM 2023 компания Intel сообщила о ряде достижений, которые продлят работу закона Мура. Плотность транзисторов на единицу площади продолжит увеличиваться прежними или близкими к прежним темпами, обещая дойти к 2030 году до триллиона транзисторов в одном процессоре.

 Рекламное представление CFET. Источник изображения: Intel

Рекламное представление CFET (комплементарных полевых транзисторов). Источник изображения: Intel

Ещё в мае стало известно, что Intel намерена внедрить в одном из будущих поколений процессоров многоуровневую компоновку транзисторов. Речь идёт о вертикальном расположении комплементарных полевых транзисторов (CFET). На конференции представитель компании сообщил, что это будут первые в индустрии решения такого рода, шаг затвора которых составит до 60 нм. Сейчас такие транзисторы изготавливаются с расположением бок о бок в горизонтальной плоскости, тогда как в ближайшие годы компания начнёт производить их один над другим в сочетании с горизонтальными полностью окружёнными затворами каналами. Это значительно увеличит плотность размещения транзисторов на кристалле, что также потребует сигнального доступа к транзисторам с обратной стороны подложки.

Но сначала Intel введёт в обиход новый (и первый за 13 лет в её практике) транзистор. Компания называет его RibbonFET Gate-All-Around (GAA). Каналы у такого транзистора будут в виде тонких горизонтально ориентированных наностраниц, расположенных друг над другом. Канала будет четыре, и все они будут полностью окружены одним затвором. Начало производства транзисторов RibbonFET запланировано на первую половину 2024 года. Вертикальная компоновка из комплементарных пар таких транзисторов, очевидно, произойдёт на несколько лет позже. Вероятно в одном и том же техпроцессе удвоения количества транзисторов не произойдёт. Однако можно ожидать роста плотности транзисторов до 30 % или около того, что в сочетании с переходом на ещё более тонкие техпроцессы позволит следовать закону Мура.

 Близкое к реальному представление пары вернтикально расположенных друг над другом комплементарных транзисторов

Близкое к реальному представление пары вертикально расположенных друг над другом комплементарных транзисторов

Также на конференции Intel сообщила о других достижениях. В частности, она рассказала о выпуске кристаллов с питанием транзисторов через обратную сторону подложки. Это разгрузит сторону с сигнальными линиями, что позволит поднять тактовую частоту и увеличить мощность питания, поскольку последним будет предоставлено больше пространства для проводников и, следовательно, откроет простор для изготовления подводящих питание линий с большим сечением. Правда, когда придёт черёд вертикально расположенных транзисторов, через заднюю подложку будут предусмотрены также прямые сигнальные контакты, что поможет разгрузить основную сигнальную инфраструктуру.

 Обычное горизонтальное расположение транзисторов с наностраничными каналами

Обычное горизонтальное расположение транзисторов с наностраничными каналами

Также компания сообщила об опыте изготовления на единой кремниевой подложке в дополнение к обычным транзисторам транзисторов из нитрида галлия (GaN). Технология показала свою жизнеспособность и позволит в будущем на 300-мм кремниевых подложках выпускать силовую электронику или электронику с силовыми элементами с использованием нитрида галлия. Сегодня такие элементы выпускаются на своих подложках (и это обычно не кремний) и интегрируются с кремниевой электроникой на уровне сборок.

 Разделение питания и сигнального интерфейса по разное стороны от кристалла (на прямую и тыловую стороны)

Разделение питания и сигнального интерфейса по разное стороны от кристалла (на прямую и тыловую стороны)

Наконец, Intel сообщила об успешном движении в направление «двумерных» транзисторов с 2D-каналами. Материалы для 2D-каналов на основе дихалькогенида переходных металлов (TMD) предоставляют уникальную возможность масштабирования физического затвора транзистора длиной менее 10 нм. На IEDM 2023 Intel показала прототипы высокомобильных TMD-транзисторов как для NMOS (n-канальный металлооксидный полупроводник), так и для PMOS (p-канальный металлооксидный полупроводник).

Также компания представила первый в мире gate-all-around (GAA) 2D TMD PMOS-транзистор и первый в мире 2D PMOS-транзистор, изготовленный на 300-миллиметровой пластине. Ждём более подробных докладов, которые помогут ознакомиться с этими новшествами.

«Мы переходим к производству»: Intel завершила разработку ангстремного техпроцесса Intel 18A

Генеральный директор Intel Патрик Гелсингер (Patrick Gelsinge) заявил, что американская компания следует плану по освоению пяти передовых техпроцессов за четыре года и тем самым убедить клиентов в конкурентоспособности своих технологий. Гелсингер заявил, что самый передовой техпроцесс компании — Intel 18A — перейдет в стадию тестового производства уже в первом квартале 2024 года.

«По поводу 18A, у нас уже выпускается много тестовых пластин, — сказал Гелсингер. — Фаза разработки 18A завершена, и теперь мы переходим к производству».

Технологический процесс Intel 18A, что расшифровывается как 18 ангстрем или 1,8 нм, является важнейшим элементом в стратегии Intel по возвращению себе лидерства в производстве полупроводников к 2025 году. Компания также объявила, что будет использовать эту технологию не только для выпуска собственных чипов, но и для производства микросхем для сторонних заказчиков, включая Ericsson и американских оборонных подрядчиков, на контрактной основе.

Samsung и TSMC стремятся запустить массовое производство микросхем по своим 2-нм техпроцессам в 2025 году. Считается, что эти 2-нанометровые чипы будут соответствовать чипам Intel 18A.

По словам Гелсингера, с момента его возвращения в компанию в 2021 году Intel активно реализует план «пять техпроцессов за четыре года». Обычно производителю требуется не менее двух лет для перехода на новый техпроцесс. «И вот мы здесь, — сказал Гелсингер. — Прошло два с половиной года с начала этого пути, и знаете что? Это действительно происходит, мы на пути к созданию пяти техпроцессов за четыре года».

План Intel предусматривает освоение технологий производства чипов Intel 7, Intel 4, Intel 3, Intel 20A и Intel 18A. Первые два техпроцесса уже запущены в массовое производство, новейший процессор Meteor Lake основан как раз на технологии Intel 4. По словам Гелсингера, технология Intel 3, которая будет использоваться для следующего поколения чипов для серверов и ПК, сейчас находится на стадии отладки и будет приведена к массовому производству в следующем году.

Для Intel будет крайне важно убедить клиентов в преимуществах своей передовой технологии производства. Это нужно для того, чтобы сохранить доминирующее положение в сфере процессоров для ПК и серверов, поскольку в эпоху искусственного интеллекта конкуренция становится ещё более острой. Конкуренты, такие как Qualcomm, стремятся отвоевать долю рынка у Intel в сегменте ПК.

Samsung раскрыла подробности о 1,4-нм техпроцессе — компания повторит разработку Intel

На днях вице-президент подразделения Samsung по контрактному производству чипов Чон Ги Тхэ (Jeong Gi-Tae) в интервью изданию The Elec сообщил, что в будущем техпроцессе SF1.4 (класс 1,4 нм) количество каналов в транзисторах будет увеличено с трёх до четырех, что принесёт с собой ощутимые преимущества в плане производительности и энергопотребления. Это произойдёт на три года позже выпуска аналогичных по строению транзисторов Intel, что заставит Samsung догонять конкурента.

 Источник изображения: Samsung

Источник изображения: Samsung

Компания Samsung первой начала выпускать транзисторы с затвором, полностью окружающим каналы в транзисторах (SF3E). Это произошло больше года назад и используется довольно избирательно. Например, такого рода 3-нм техпроцесс задействован для выпуска чипов для майнеров криптовалюты. Каналы в транзисторах в новом техпроцессе представляют собой тонкие нанолисты, размещённые друг над другом. В транзисторах Samsung три таких канала, которые со всех четырёх сторон окружены затвором и поэтому ток через них течёт под точным контролем с минимальными утечками.

 Планы Samsung по введению новых техпроцессов

Планы Samsung по введению новых техпроцессов

Компания Intel, напротив, свои первые транзисторы с каналами-нанолистами начнёт выпускать в 2024 году с использованием 2-нм техпроцесса RibbonFET Gate-All-Around (GAA). С самого начала они будут иметь по четыре нанолистовых канала в каждом. Это означает, что GateGAA-транзисторы Intel будут более производительные, чем аналогичные по строению транзисторы Samsung, смогут пропускать больший ток и окажутся более энергоэффективными, чем транзисторы южнокорейского конкурента. Это будет длиться около трёх лет, пока Samsung не начнёт выпускать чипы на техпроцессе SF1.4, что ожидается в 2027 году. Как теперь стало известно, они тоже станут «четырёхлистовыми» — получат по четыре канала каждый вместо сегодняшних трёх.

 Архитектура будущих 2-нм транзисторов Intel с наностраничными каналами, полностью окружёнными затвором. Источник изображения: Intel

Архитектура будущих 2-нм транзисторов Intel с нанолистовыми каналами, полностью окружёнными затвором. Источник изображения: Intel

Другое дело, будет ли Samsung на самом деле отставать от Intel в плане технологичности? К тому времени у южнокорейской компании будет пять лет опыта по массовому выпуску GAA-транзисторов, тогда как Intel будет оставаться новичком. А с производством таких транзисторов вряд ли всё просто, раз Samsung использует этот техпроцесс очень и очень избирательно. В любом случае, переход на новую архитектуру транзисторов станет для отрасли полупроводников заметным прорывом и позволит ещё на несколько лет отодвинуть барьер, за которым традиционное производство полупроводников перестанет находиться на острие прогресса.

Intel впервые за 13 лет изменит транзисторы в чипах — компания показала RibbonFET и схему их обратного питания

На конференции Innovation 2023 глава компании Intel Патрик Гелсингер (Patrick Gelsinger) показал кремниевую пластину с процессорами Arrow Lake, выполненными по техпроцессу 20A (20 ангстрем или 2 нм). Эти чипы появятся в 2024 году и станут первыми за 13 лет носителями новой архитектуры транзисторов. На мероприятии глава Intel раскрыл кое-какие детали будущих архитектур, что можно считать официальным подтверждением появившихся ранее утечек.

 Источник изображения: Intel

Источник изображения: Intel

Значительным событием стало подтверждение планов Intel начать выпуск 2-нм процессоров в 2024 году — раньше, чем это сделают компании TSMC и Samsung, до этого показавшие значительный технологический отрыв от микропроцессорного гиганта. Компания Intel поставила перед собой цель освоить за четыре года выпуск процессоров на пяти новых технологических узлах и, похоже, строго следует этому плану. Более того, по ряду технологических новшеств Intel собирается оказаться впереди как Samsung, так и TSMC.

 Пластина с чипами Arrow Lake

Пластина с чипами Arrow Lake

В частности, компания Intel первой переведёт линии питания элементов процессоров на заднюю часть подложки. Сигнальные линии останутся на прежнем месте, а питание будет подаваться с обратной стороны непосредственно на транзисторы. Произойдёт это, начиная с транзисторов чипов Arrow Lake, которые компания уже выпускает в виде инженерных образцов.

Разделение питания и сигнальных линий даст много преимуществ, хотя также будет сопряжено с технологическими трудностями. Разгрузка объёма пластины со стороны сигнального интерфейса позволит упростить разводку и повысить скорость работы сигнального интерфейса за счёт уменьшения длин соединений и, соответственно, снижения их сопротивления току. Такое же упрощение разводки питания (с обратной стороны) и даже увеличение сечения проводников питания позволит уменьшить переходные процессы и даже откроет путь к увеличению плотности размещения транзисторов. Компания TSMC, например, планирует внедрить похожую технологию не раньше 2026 года или на два года позже Intel.

 Доставка питания с «чёрного хода»

Доставка питания сзади (справа на изображении). Слева — актуальный подход, когда сигнал и питание подаются в одном слое

Но определённо революционным новшеством в процессорах Arrow Lake станут новые транзисторы RibbonFET Gate-All-Around (GAA) с каналами, полностью окружёнными затворами. Это будут первые с 2011 года новые транзисторы в процессорах Intel после начала производства транзисторов FinFET с вертикальными каналами (рёбрами), окружёнными затворами только с трёх сторон. Подобные транзисторы в собственной интерпретации (SF3E) уже выпускает компания Samsung, но она не готова сделать их массовыми. Компания Intel, похоже, готова организовать производство GAA-транзисторов на массовой основе.

Архитектурно GAA-транзисторы Intel похожи на такие же транзисторы Samsung. Они точно также представлены расположенными друг над другом каналами в виде тонких нанолистов (наностраниц), окружённых затворами со всех сторон. В составе транзистора Intel использует четыре канала. По словам Intel, такая конструкция обеспечивает более быстрое переключение транзисторов при использовании управляющего тока аналогичного по силе току для FinFET. При этом GAA-транзистор занимает на подложке заметно меньше места, чем FinFET.

Компания TSMC рассчитывает внедрить в производство собственную архитектуру GAA в 2025 году или на год позже Intel. В этом формально Samsung опередила своих конкурентов, но в плане массовости производства самых передовых решений она пока ничем похвастаться не может.

Intel представила первый в мире процессор с UCIe — в нём объединены чиплеты от разных производителей

На мероприятии Innovation 2023 глава компании Intel Пэт Гелсингер (Pat Gelsinger) представил первый в мире процессор с новой технологией универсального интерконнекта Universal Chiplet Interconnect Express (UCIe), который позволяет объединять чиплеты от разных производителей в составе одного процессора. Об этом сообщает портал Tom's Hardware.

 Источник изображений: Intel

Источник изображений: Intel

В составе представленного Intel процессора используется чиплет на основе фирменного техпроцесса Intel 3, а также чиплет компании Synopsys, созданный на основе техпроцесса N3E от TSMC. Взаимодействие между кристаллами осуществляется посредством шины Intel EMIB.

Инициатива Universal Chiplet Interconnect Express (UCIe) поддерживается многими ведущими игроками на рынке полупроводников, включая Intel, AMD, Arm, NVIDIA, TSMC, Samsung, а также 120 другими компаниями. Этот интерконнект предназначен для стандартизации межсоединений чиплетов и является проектом с открытым исходным кодом, что снижает затраты на разработку и способствует созданию более широкой экосистемы проверенных чиплетов.

Выпускающиеся сегодня процессоры, состоящих из нескольких кристаллов, задействуют проприетарные интерфейсы и программные протоколы для взаимодействия этих кусочков кремния. Использование чиплетов сторонних производителей в таком случае не представляется возможным. Ключевая цель консорциума Universal Chiplet Interconnect Express (UCIe) заключается в создании экосистемы с универсальным интерконнектом для чиплетов. В перспективе это даст производителям процессоров возможность использовать в своих продуктах чиплеты других разработчиков.

Консорциум UCIe был создан лишь в прошлом году, но уже получил очень широкую поддержку среди различных разработчиков и производителей чипов. Спецификация интерконнекта UCIe дебютировала в версии 1.0, но теперь перешла к версии 1.1, о чём сообщают слайды в галерее выше. Использовать UCIe планируется не только со стандартными методами 2D-упаковки чипов, но также при использовании более продвинутых 2,5D-упаковок, включая EMIB, CoWoS и т.д. Применение UCIe в более передовых упаковках обеспечит интерконнекту более высокую плотность и пропускную способность.

Чиплеты в составе тех же серверных процессоров Intel Sapphire Rapids или анонсированных сегодня потребительских процессоров Meteor Lake используют проприетарные интерфейсы и протоколы Intel для взаимодействия между собой. Однако будущие потребительские процессоры Arrow Lake будут использовать универсальный интерконнект UCIe. Отмечается, что компании AMD и NVIDIA тоже работают над своими продуктами с использованием UCIe.

Один из первых 3-нм чипов производства Samsung обнаружился в ASIC-майнере

Хотя Samsung начала массовое производство чипов на базе техпроцесса SF3E (3 нм, транзисторы GAA) примерно год назад, к настоящему моменту мало кто из производителей электроники подтвердил его использование в своих продуктах. Недавно аналитики TechInsights выяснили, что одним из первых заказчиков 3-нм чипов у Samsung стала компания MicroBT, выпускающая ASIC-майнеры. Выполненный по технологии SF3E чип применяется в её криптомайнере Whatsminer M56S++.

 Источник изображения: Arm

Источник изображения: Arm

Специализированные интегральные схемы ASIC для добычи криптовалюты представляют собой небольшие чипы с относительно малым количеством входящих в их состав транзисторов и повторяющимися логическими структурами, похожими на простые битовые ячейки памяти SRAM. В целом за счёт простоты производства таких чипов это делает их весьма подходящей платформой для тестирования передовых технологически процессов. Поэтому совсем неудивительно, что техпроцесс SF3E нашёл своё первое практическое применение именно в среде криптомайнинга.

К сожалению, в открытом доступе о ASIC-майнере Whatsminer M56S++ не так много информации. Известно лишь, что система компании MictoBT на основе этого чипа обеспечивает хешрейт на уровне 240–256 Тхеш/с и обладает энергоэффективностью 22 джоуля на терахэш.

 Источник изображения: Samsung

Источник изображения: Samsung

На данный момент неизвестно, используется ли 3-нм технология SF3E компании Samsung в каких-либо других коммерческих решениях помимо оборудования для майнига. Однако сама Samsung заявляет, что «использует этот техпроцесс в своих продуктах».

«Мы массово производим чипы на основе первого поколения 3-нм техпроцесса со стабильным уровнем выхода годных микросхем. С учётом этого опыта мы уже ведём разработку второго поколения техпроцесса, который обеспечит ещё более высокий выход годных чипов», — цитирует портал Tom’s Hardware одно из недавних заявлений компании.

По сравнению с техпроцессами 5-нм класса Samsung второго поколения (SF5, 5LPP), SF3E обещает снижение энергопотребления микросхем до 45 % при сохранении той же частоты работы, либо повышение производительности до 23 % при сохранении количества транзисторов и мощности. Кроме того, чипы с использованием SF3E занимают на 16 % меньшую площадь.

Intel представила недорогой и энергоэффективный 16-нм техпроцесс для широкого спектра чипов

Контрактный производитель полупроводников Intel Foundry Services (IFS) представил новый технологический процесс 16-нм класса, получивший название Intel 16. Он предназначен для производства чипов для мобильных устройств, RF-модулей, компонентов IoT, бытовой техники, устройств для хранения данных, а также для использования в военной и аэрокосмических отраслях. Новая технология дополняет 22-нм техпроцесс Intel FFL и считается недорогим техпроцессом на основе FinFET.

 Источник изображения: Intel

Источник изображения: Intel

Как указывается в пресс-релизе компаний Synopsys, Cadence Digital и Ansys, являющихся ведущими поставщиками средств автоматизации проектирования электроники, новый техпроцесс Intel 16 разработан специально под широкий круг изделий от разных заказчиков. Технология класса 16 нм Intel предлагает более высокую плотность транзисторов, более высокую производительность и энергоэффективность по сравнению с планарными техпроцессами, которые применяются сегодня для перечисленных выше полупроводниковых изделий, а также обещает упросить процесс проектирования и производства полупроводниковых компонентов.

Сотни различных видов электронных микросхем по-прежнему производятся с применением зрелых технологических узлов. Сюда можно отнести однопрограммные процессоры, различные контроллеры и аналоговые устройства, чипы для бытовой техники и радиоустройств. Использование зрелых узлов обходится дешевле, проще и сопровождается меньшим количеством брака. Хотя для передовых вычислений вроде ИИ используются большие и мощные чипы вроде AMD Instinct MI300 и NVIDIA H100, которые выпускаются по самым передовым техпроцессам, но всё равно эти сферы не обходятся без более компактных и простых чипов, обеспечивающие меньший уровень производительности, но при этом обладающие значительно более высокими показателями энергоэффективности. Исходя из этого производители чипов по-прежнему предлагают своим клиентам продукты на основе недорогих зрелых технологических процессов, в которых применяются транзисторы FinFET. Например, та же компания TSMC в этом случае предлагает использовать её техпроцесс N12e.

Компании Ansys, Cadence и Synopsys сообщили, что уже внедрили поддержку технологического процесса Intel 16 в своих программных продуктах для автоматизации проектирования микросхем. Та же Cadence, например, адаптировала применение Intel 16 для проектирования компонентов PCIe 5.0, своего мультипротокольного решения 25G PHY, мультипротокольных решений для потребительских продуктов с поддержкой стандартов PCIe 3.0 и USB 3.2, для различных компонентов памяти LPDDR5/4/4X, а также интерфейсов MIPI D-PHY v1.2 для камер и цифровых дисплеев. В свою очередь Synopsys предлагает поддержку Intel 16 в составе набора инструментов Synopsys.ai с поддержкой ИИ для более быстрого внедрения чипов.

Разработчики полупроводниковых микросхем, не имеющие собственных производств, уже могут начать использовать инструменты проектирования, моделирования и проверки своих решений на основе техпроцесса Intel 16.

Imec и ASML продолжат вместе разрабатывать оборудование для выпуска чипов по тончайшим техпроцессам

Бельгийский центр исследований Imec и нидерландская компания ASML подписали меморандум о взаимопонимании для поддержки исследований в области полупроводников и устойчивых инноваций в Европе. Подписание запускает вторую фазу совместной работы этих организаций по разработке оборудования и техпроцессов выпуска чипов с нормами менее 10 нм — до нанометра и менее, что найдёт применение на всех передовых заводах мира.

Так или иначе Imec и ASML участвуют в совместных полупроводниковых проектах свыше 40 лет. В 2018 году они договорились сделать литографические сканеры ещё лучше, чтобы позволить массово выпускать чипы с нормами менее 10 нм. Для этого ASML поставила для экспериментальной линии Imec самый передовой на тот момент сканер NXE:3400B со значением числовой апертуры (NA) 0,33 и запланировала поставку ещё более совершенного сканера EXE:5000 с NA 0,55.

На опытной линии Imec и ASML отрабатывали тонкости производства чипов и работали над усовершенствованием сканеров и оборудования для тестирования, а также испытывали различные составы фоторезиста, методы изготовления фотошаблонов и проводили другие исследования, которые помогли бы в освоении всё более тонких техпроцессов.

Новый договор позволит ещё дальше пойти по этому пути. Теперь ASML отправит для установки на опытную линию Imec ещё более новый сканер — EXE:5200 со значением числовой апертуры 0,55. Кроме этого оборудования в Imec будут отправлены последние модели NXE:3800 с 0,33 NA EUV, иммерсионный сканер DUV (TWINSCAN NXT:2100i), станция оптической метрологии Yieldstar и многолучевой HMI.

TSMC ускорила разработку 2-нм техпроцесса — тестовое производство хотят запустить в этом году

Тайваньский контрактный производитель микросхем TSMC ускорил разработку 2-нм технологического процесса из-за высокого потенциального спроса на эту продукцию со стороны таких компаний, как NVIDIA и Apple. Об этом сообщает тайваньское издание Economic Daily.

 Источник изображения: TSMC

Источник изображения: TSMC

По словам издания, тайваньская компания уже приступила к подготовке к старту мелкосерийного производства 2-нм чипов, а массовое производство микросхем этого класса должно начаться к 2025 году.

Со ссылкой на источники Economic Daily сообщает, что в рамках подготовки к тестовому производству микросхем класса 2-нм TSMC передислоцировала инженеров и поддерживающий персонал в центр разработки, расположенный в городском округе Баошань. В рамках тестового производства до конца этого года планируется выпуск 1000 кремниевых пластин, говорится в сообщении одного из источников, на 2024 год компания запланировала ещё один пробный выпуск пластин, а старт массового производства чипов согласно нормам 2 нм должен начаться в 2025 году. Для массового производства 2-нм микросхем компания расширит мощности на своей фабрике в Баошани, а также задействует новый завод в Тайчжуне, что на западе Тайваня.

Для TSMC важно начать тестовое производство 2-нм чипов как можно скорее, так как на этой фазе производства не исключено возникновение технических сложностей, для решения которых потребуется время. Это будет первое поколение чипов компании, в которых будут применяться транзисторы GAA с круговым затвором.

По данным источников тайваньского издания, разработка 2-нм техпроцесса TSMC пока идёт хорошо. Отмечается, что конкуренция среди клиентов компании за использование нового техпроцесса стала более интенсивной, что может говорить о высоком уровне инвестиций в разработку и развитие индивидуальных решений. По словам тех же источников, TSMC активно использует в разработке нового техпроцесса алгоритмы искусственного интеллекта, за счёт которых производитель пытается повысить энергоэффективность новых чипов и, вероятно, снизить воздействие их производства на окружающую среду. Тайваньская компания использует ИИ-платформу AutoDMP от NVIDIA, которая позволяет 30-кратно ускорить процессы оптимизации проектирования кристаллов по сравнению с предыдущими методами и технологиями. Средство проектирования чипов от NVIDIA призвано сделать производство дешевле, а сами чипы — производительнее и энергоэффективнее.

Samsung запустит 4-нм техпроцесс SFX4 для высокопроизводительных CPU и GPU — +10 % к производительности и -23 % к энергопотреблению

На симпозиуме 2023 Symposium on VLSI Technology and Circuits компания Samsung расскажет о новом техпроцессе SF4X, который предназначен для выпуска CPU и GPU для высокопроизводительных вычислений (HPC). Технология, ранее известная как 4HPC (4 нм для высокопроизводительных вычислений), призвана не только обеспечить повышение тактовой частоты и энергоэффективности чипов, но также будет обладать потенциалом для дополнительного разгона.

 Источник изображений: Samsung

Источник изображений: Samsung

Новый техпроцесс Samsung SF4X обещает повышение на 10 % производительности и при этом на 23 % более низкий уровень энергопотребления. Правда, компания пока не уточнила, с каким именно техпроцессом приводит сравнение. Вероятно, речь идёт о стандартном 4-нм техпроцессе SF4 (4LPP). Добиться улучшения производительности и энергоэффективности удалось с помощью перепроектирования стоков и истоков транзисторов, а также благодаря дальнейшей оптимизацией конструкции транзистора и перепроектированием промежуточной схемы (MOL).

Благодаря новой MOL техпроцесс SF4X может похвастаться подтверждённым минимальным напряжением для CPU (Vmin) в 60 мВ, 10-процентным снижением колебаний тока в выключенном состоянии, гарантией работы при высоком напряжении (Vdd) более 1 В без снижения производительности и более эффективной работой с SRAM.

Предполагается, что техпроцесс SF4X будет конкурировать с N4P и N4X компании TSMC, чей запуск запланирован на 2024–2025 годы. Какой техпроцесс в конечном итоге обеспечит наилучшее сочетание производительности, мощности, плотности транзисторов, эффективности и стоимости, основываясь исключительно на заявлениях производителей, предугадать невозможно.

Компоненты для высокопроизводительных вычислений (CPU и GPU для дата-центров) требуют значительного количества энергии, рассчитаны на регулярную работу с большими нагрузками и могут значительно повышать свою тактовую частоту, если возрастает потребность в более высокой производительности. Одна из главных задач новых техпроцессов, применяемых при производстве этих компонентов, связана не только с повышением производительности, но также и с повышением их энергоэффективности. Поэтому снижение у SF4X энергопотребления на 23 % по сравнению с предшествующим техпроцессом создаёт потенциал к существенной экономии средств держателей ЦОД и одновременному снижению негативных воздействий на окружающую среду этими системами.

Примечательно, что SF4X — это первый передовой техпроцесс Samsung, разработанный специально для использования в сфере HPC. Если учесть, что высокопроизводительные вычисления, в частности, ИИ, сейчас пользуются повышенным спросом как со стороны признанных лидеров рынка полупроводников (AMD, IBM, Intel и NVIDIA), так и со стороны новичков, таких как Ampere или Graphcore, у Samsung Foundry есть все основания ожидать, что эта технология будет принята по крайней мере некоторыми из более 150 её клиентов.

Samsung значительно снизила уровень брака при выпуске 4-нм чипов и этим привлекла AMD и Google

Техпроцесс компании Samsung с нормами 4 нм долго страдал от высокого уровня брака, пока в минувшие недели не был достигнут значительный прогресс. Представители Samsung сообщили об этом лично в местных социальных сетях, что случается крайне редко. Заявлено, что «следующее поколение 4-нм техпроцесса обеспечит более высокий выход годной продукции» и это привлекло именитых клиентов — компании AMD и Google.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Как нам известно, до конца текущего полугодия Samsung начнёт массовый выпуск микросхем на основе третьего поколения 4-нм техпроцесса. Это произойдёт примерно спустя два года после первой заявки о начале выпуска 4-нм решений тогда ещё первого поколения. В этом компания Samsung не сильно отстала от своего конкурента — тайваньской TSMC, но в чём она явно проиграла — это в степени готовности нового техпроцесса к массовому производству.

Утверждалось, что ко второму году производства с нормами 4 нм уровень выхода годных микросхем на линиях Samsung приближался к 60 %, тогда как на аналогичных по масштабам линиях TSMC этот показатель достигал 70 % и даже 80 % (для зрелого производства этот показатель достигает 92–95 %). Уровень выхода годной продукции на новых 4-нм линиях Samsung будет приближаться к уровню TSMC и к уровню выхода годных 5-нм чипов Samsung, что означает уверенное преодоление планки 70 % и более.

Ранее компания Samsung потеряла ряд крупных заказов от Tesla, Qualcomm и других компаний, поскольку выход 4-нм микросхем с каждой пластины заметно уступал показателям TSMC. Достижение новых уровней качества продукции позволили южнокорейскому чипмейкеру вернуть часть из них. Например, сообщается о новых договорах на контрактное производство чипов для компаний AMD и Google.

TSMC рассказала, как будет улучшать 2-нм техпроцесс N2 — оптимизация питания в N2P и повышение скорости в N2X

Компания TSMC рассекретила планы совершенствования 2-нм техпроцесса N2, массовое производство по которому должно стартовать в 2025 году. Спустя год после этого будет внедрён оптимизированный по шине питания техпроцесс N2P, а ещё через некоторое время компания запустит техпроцесс N2X для решений с высшей производительностью. Развитие 2-нм техпроцесса TSMC будет стремительным, что может объясняться опасениями TSMC отстать от Intel и Samsung.

 Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Официально техпроцесс с нормами 2 нм тайваньский чипмейкер представил летом прошлого года. Производство полупроводников с этими технологическими нормами начнётся в 2025 году. Главной особенностью техпроцесса N2 станет переход с FinFET на транзисторы с круговым затвором (GAAFET). Это снизит токи утечки, позволит гибко регулировать производительность и оптимизирует потребление. Другой важной особенностью техпроцесса N2 должен был стать перенос линий питания чипа на другую сторону кристалла, что будет означать развязку шины данных и управления с питанием.

 Источник изображений: TSMC

Источник изображений: TSMC

Как теперь становится понятно, перенос линий питания ожидается в процессе внедрения техпроцесса N2P, что произойдёт в 2026 году. Из предыдущих заявлений компании первой реализации идеи можно было ожидать в 2025 году. Разнесение интерфейсов питания и данных по разные стороны кристалла решает множество проблем. Так, линии подвода питания к транзисторам станут короче, что снизит их сопротивление. Разнесение разводки уменьшит площадь кристаллов, львиную долю которой съедали линии передачи и межслойные контакты. Наконец, хотя это не всё, снизятся взаимные помехи, что скажется на стабильности сигнальных характеристик чипов.

Снижение площади кристалла, занятой контактами и разводкой, приведёт к значительному увеличению плотности транзисторов. Ранее TSMC заявляла, что переход от техпроцесса с нормами 3 нм к нормам 2 нм увеличит плотность транзисторов на 10 %. К настоящему моменту прогноз был улучшен до 15 % и, в случае внедрения техпроцесса N2P, плотность может вырасти на двухзначную величину, которую компания пока не конкретизирует. Закон Мура вздохнёт ещё раз перед своей смертью.

О техпроцессе N2X, который будет внедряться в 2026 году или позже, компания ничего не сообщила. Можно предположить, что это будет не слишком распространённое предложение, тогда как техпроцесс N2P обещает стать рабочей лошадкой компании на этапе 2-нм производства чипов.

Также компания сообщила о прогрессе в подготовке базового 2-нм техпроцесса. Производительность транзисторов GAAFET в составе опытного кремния доходит до 80 % от целевых значений. И это за два года до начала внедрения, что очень и очень хорошо. При этом уровень брака при производстве 2-нм ячеек SRAM объёмом 256 Мбит снизился до 50 % и менее.

 Источник изображения: Anandtech

Источник изображения: Anandtech

В целом техпроцесс с нормами 2 нм позволит TSMC повысить производительность транзисторов на 10–15 % при той же мощности и сложности, или снизить энергопотребление на 25–30 % при тех же тактовых частотах и количестве транзисторов. На бумаге TSMC отстаёт от компании Intel на год или два и успехи одной из компаний не дают покоя другой. Если каждая из них сдержит обещания, то чипы TSMC с транзисторами GAAFET появятся на два года позже аналогичных чипов Intel (20A), что также касается планов переноса линий питания на обратную сторону кристалла.


window-new
Soft
Hard
Тренды 🔥
Российский бизнес переходит с «закрытого» ПО на коммерческие open source-решения 8 мин.
Научно-фантастический стелс-экшен Steel Seed нашёл издателя и выйдет полностью на русском языке — геймплейный трейлер 31 мин.
Аудитория ролевого экшена Lies of P превысила 7 млн игроков 2 ч.
Релизная версия MMO-выживания Dune: Awakening осталась без езды на песчаных червях из-за фильма «Дюна: Часть вторая» 3 ч.
Nvidia представила GR00T — базовую ИИ-модель для создания человекоподобных роботов 3 ч.
Nvidia сделала цифровых людей более реалистичными 3 ч.
Nvidia и Shutterstock запустили ИИ-генератор 3D-моделей Edify 4 ч.
Дата выхода в раннем доступе, Kickstarter и обновлённое демо: красочная фэнтезийная стратегия Songs of Silence получила новый трейлер 4 ч.
Бывшие сотрудники Blizzard рассказали, что происходит с сюжетными миссиями Overwatch 2 — их могут окончательно отменить 5 ч.
Более половины игровых студий применяют ИИ в разработке, показало исследование Unity 6 ч.